OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_twi.vhd] - Blame information for rev 69

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2 6 zero_gravi
-- # << NEORV32 - Two-Wire Interface Controller (TWI) >>                                           #
3 2 zero_gravi
-- # ********************************************************************************************* #
4
-- # Supports START and STOP conditions, 8 bit data + ACK/NACK transfers and clock stretching.     #
5 66 zero_gravi
-- # Supports ACKs by the controller. No multi-controller support and no peripheral mode support   #
6 68 zero_gravi
-- # yet. Interrupt: "operation done"                                                              #
7 2 zero_gravi
-- # ********************************************************************************************* #
8
-- # BSD 3-Clause License                                                                          #
9
-- #                                                                                               #
10 48 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
11 2 zero_gravi
-- #                                                                                               #
12
-- # Redistribution and use in source and binary forms, with or without modification, are          #
13
-- # permitted provided that the following conditions are met:                                     #
14
-- #                                                                                               #
15
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
16
-- #    conditions and the following disclaimer.                                                   #
17
-- #                                                                                               #
18
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
19
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
20
-- #    provided with the distribution.                                                            #
21
-- #                                                                                               #
22
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
23
-- #    endorse or promote products derived from this software without specific prior written      #
24
-- #    permission.                                                                                #
25
-- #                                                                                               #
26
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
27
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
28
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
29
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
30
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
31
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
32
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
33
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
34
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
35
-- # ********************************************************************************************* #
36
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
37
-- #################################################################################################
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.numeric_std.all;
42
 
43
library neorv32;
44
use neorv32.neorv32_package.all;
45
 
46
entity neorv32_twi is
47
  port (
48
    -- host access --
49
    clk_i       : in  std_ulogic; -- global clock line
50
    addr_i      : in  std_ulogic_vector(31 downto 0); -- address
51
    rden_i      : in  std_ulogic; -- read enable
52
    wren_i      : in  std_ulogic; -- write enable
53
    data_i      : in  std_ulogic_vector(31 downto 0); -- data in
54
    data_o      : out std_ulogic_vector(31 downto 0); -- data out
55
    ack_o       : out std_ulogic; -- transfer acknowledge
56
    -- clock generator --
57
    clkgen_en_o : out std_ulogic; -- enable clock generator
58
    clkgen_i    : in  std_ulogic_vector(07 downto 0);
59
    -- com lines --
60
    twi_sda_io  : inout std_logic; -- serial data line
61
    twi_scl_io  : inout std_logic; -- serial clock line
62
    -- interrupt --
63 48 zero_gravi
    irq_o       : out std_ulogic -- transfer done IRQ
64 2 zero_gravi
  );
65
end neorv32_twi;
66
 
67
architecture neorv32_twi_rtl of neorv32_twi is
68
 
69
  -- IO space: module base address --
70
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
71
  constant lo_abb_c : natural := index_size_f(twi_size_c); -- low address boundary bit
72
 
73 68 zero_gravi
  -- control register --
74
  constant ctrl_en_c    : natural := 0; -- r/w: TWI enable
75
  constant ctrl_start_c : natural := 1; -- -/w: Generate START condition
76
  constant ctrl_stop_c  : natural := 2; -- -/w: Generate STOP condition
77
  constant ctrl_prsc0_c : natural := 3; -- r/w: CLK prsc bit 0
78
  constant ctrl_prsc1_c : natural := 4; -- r/w: CLK prsc bit 1
79
  constant ctrl_prsc2_c : natural := 5; -- r/w: CLK prsc bit 2
80
  constant ctrl_mack_c  : natural := 6; -- r/w: generate ACK by controller for transmission
81 2 zero_gravi
  --
82 68 zero_gravi
  constant ctrl_ack_c   : natural := 30; -- r/-: Set if ACK received
83
  constant ctrl_busy_c  : natural := 31; -- r/-: Set if TWI unit is busy
84
  --
85
  signal ctrl : std_ulogic_vector(6 downto 0); -- unit's control register
86 2 zero_gravi
 
87
  -- access control --
88
  signal acc_en : std_ulogic; -- module access enable
89
  signal addr   : std_ulogic_vector(31 downto 0); -- access address
90 68 zero_gravi
  signal wren   : std_ulogic; -- word write enable
91
  signal rden   : std_ulogic; -- read enable
92 2 zero_gravi
 
93
  -- twi clocking --
94 68 zero_gravi
  signal twi_clk       : std_ulogic;
95
  signal twi_phase_gen : std_ulogic_vector(3 downto 0);
96
  signal twi_clk_phase : std_ulogic_vector(3 downto 0);
97 2 zero_gravi
 
98
  -- twi clock stretching --
99
  signal twi_clk_halt : std_ulogic;
100
 
101
  -- twi transceiver core --
102 66 zero_gravi
  signal arbiter  : std_ulogic_vector(2 downto 0);
103
  signal bitcnt   : std_ulogic_vector(3 downto 0);
104
  signal rtx_sreg : std_ulogic_vector(8 downto 0); -- main rx/tx shift reg
105 2 zero_gravi
 
106
  -- tri-state I/O --
107 68 zero_gravi
  signal twi_sda_in_ff : std_ulogic_vector(1 downto 0); -- SDA input sync
108
  signal twi_scl_in_ff : std_ulogic_vector(1 downto 0); -- SCL input sync
109
  signal twi_sda_in    : std_ulogic;
110
  signal twi_scl_in    : std_ulogic;
111
  signal twi_sda_out   : std_ulogic;
112
  signal twi_scl_out   : std_ulogic;
113 2 zero_gravi
 
114
begin
115
 
116
  -- Access Control -------------------------------------------------------------------------
117
  -- -------------------------------------------------------------------------------------------
118
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = twi_base_c(hi_abb_c downto lo_abb_c)) else '0';
119
  addr   <= twi_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
120 68 zero_gravi
  wren   <= acc_en and wren_i;
121
  rden   <= acc_en and rden_i;
122 2 zero_gravi
 
123
 
124
  -- Read/Write Access ----------------------------------------------------------------------
125
  -- -------------------------------------------------------------------------------------------
126
  rw_access: process(clk_i)
127
  begin
128
    if rising_edge(clk_i) then
129 68 zero_gravi
      ack_o <= rden or wren;
130 2 zero_gravi
      -- write access --
131 68 zero_gravi
      if (wren = '1') then
132 2 zero_gravi
        if (addr = twi_ctrl_addr_c) then
133 22 zero_gravi
          ctrl <= data_i(ctrl'left downto 0);
134 2 zero_gravi
        end if;
135
      end if;
136
      -- read access --
137
      data_o <= (others => '0');
138 68 zero_gravi
      if (rden = '1') then
139 2 zero_gravi
        if (addr = twi_ctrl_addr_c) then
140 68 zero_gravi
          data_o(ctrl_en_c)    <= ctrl(ctrl_en_c);
141
          data_o(ctrl_prsc0_c) <= ctrl(ctrl_prsc0_c);
142
          data_o(ctrl_prsc1_c) <= ctrl(ctrl_prsc1_c);
143
          data_o(ctrl_prsc2_c) <= ctrl(ctrl_prsc2_c);
144
          data_o(ctrl_mack_c)  <= ctrl(ctrl_mack_c);
145 2 zero_gravi
          --
146 68 zero_gravi
          data_o(ctrl_ack_c)   <= not rtx_sreg(0);
147
          data_o(ctrl_busy_c)  <= arbiter(1) or arbiter(0);
148 2 zero_gravi
        else -- twi_rtx_addr_c =>
149 68 zero_gravi
          data_o(7 downto 0)   <= rtx_sreg(8 downto 1);
150 2 zero_gravi
        end if;
151
      end if;
152
    end if;
153
  end process rw_access;
154
 
155
 
156
  -- Clock Generation -----------------------------------------------------------------------
157
  -- -------------------------------------------------------------------------------------------
158
  -- clock generator enable --
159 68 zero_gravi
  clkgen_en_o <= ctrl(ctrl_en_c);
160 2 zero_gravi
 
161 66 zero_gravi
  -- twi clock select --
162 68 zero_gravi
  twi_clk <= clkgen_i(to_integer(unsigned(ctrl(ctrl_prsc2_c downto ctrl_prsc0_c))));
163 2 zero_gravi
 
164
  -- generate four non-overlapping clock ticks at twi_clk/4 --
165
  clock_phase_gen: process(clk_i)
166
  begin
167
    if rising_edge(clk_i) then
168 68 zero_gravi
      if (arbiter(2) = '0') or (arbiter(1 downto 0) = "00") then -- offline or idle
169 66 zero_gravi
        twi_phase_gen <= "0001"; -- make sure to start with a new phase, bit 0,1,2,3 stepping
170 2 zero_gravi
      elsif (twi_clk = '1') and (twi_clk_halt = '0') then -- enabled and no clock stretching detected
171 66 zero_gravi
        twi_phase_gen <= twi_phase_gen(2 downto 0) & twi_phase_gen(3); -- rotate left
172 2 zero_gravi
      end if;
173
    end if;
174
  end process clock_phase_gen;
175
 
176 66 zero_gravi
  -- TWI bus signals are set/sampled using 4 clock phases --
177 2 zero_gravi
  twi_clk_phase(0) <= twi_phase_gen(0) and twi_clk; -- first step
178
  twi_clk_phase(1) <= twi_phase_gen(1) and twi_clk;
179
  twi_clk_phase(2) <= twi_phase_gen(2) and twi_clk;
180
  twi_clk_phase(3) <= twi_phase_gen(3) and twi_clk; -- last step
181
 
182
 
183
  -- TWI Transceiver ------------------------------------------------------------------------
184
  -- -------------------------------------------------------------------------------------------
185
  twi_rtx_unit: process(clk_i)
186
  begin
187
    if rising_edge(clk_i) then
188
      -- input synchronizer & sampler --
189 68 zero_gravi
      twi_sda_in_ff <= twi_sda_in_ff(0) & twi_sda_in;
190
      twi_scl_in_ff <= twi_scl_in_ff(0) & twi_scl_in;
191 2 zero_gravi
 
192 68 zero_gravi
      -- defaults --
193 69 zero_gravi
      irq_o <= '0';
194 65 zero_gravi
 
195 66 zero_gravi
      -- serial engine --
196 68 zero_gravi
      arbiter(2) <= ctrl(ctrl_en_c); -- still activated?
197 2 zero_gravi
      case arbiter is
198
 
199 6 zero_gravi
        when "100" => -- IDLE: waiting for requests, bus might be still claimed by this controller if no STOP condition was generated
200 66 zero_gravi
          bitcnt <= (others => '0');
201 68 zero_gravi
          if (wren = '1') then
202 2 zero_gravi
            if (addr = twi_ctrl_addr_c) then
203 68 zero_gravi
              if (data_i(ctrl_start_c) = '1') then -- issue START condition
204 2 zero_gravi
                arbiter(1 downto 0) <= "01";
205 68 zero_gravi
              elsif (data_i(ctrl_stop_c) = '1') then  -- issue STOP condition
206 2 zero_gravi
                arbiter(1 downto 0) <= "10";
207
              end if;
208
            elsif (addr = twi_rtx_addr_c) then -- start a data transmission
209 68 zero_gravi
              -- one bit extra for ack, issued by controller if ctrl_mack_c is set,
210
              -- sampled from peripheral if ctrl_mack_c is cleared
211
              rtx_sreg <= data_i(7 downto 0) & (not ctrl(ctrl_mack_c));
212 22 zero_gravi
              arbiter(1 downto 0) <= "11";
213 2 zero_gravi
            end if;
214
          end if;
215
 
216
        when "101" => -- START: generate START condition
217
          if (twi_clk_phase(0) = '1') then
218 68 zero_gravi
            twi_sda_out <= '1';
219 2 zero_gravi
          elsif (twi_clk_phase(1) = '1') then
220 68 zero_gravi
            twi_sda_out <= '0';
221 2 zero_gravi
          end if;
222 66 zero_gravi
          --
223 2 zero_gravi
          if (twi_clk_phase(0) = '1') then
224 68 zero_gravi
            twi_scl_out <= '1';
225 2 zero_gravi
          elsif (twi_clk_phase(3) = '1') then
226 68 zero_gravi
            twi_scl_out <= '0';
227 69 zero_gravi
            irq_o       <= '1'; -- Interrupt!
228 2 zero_gravi
            arbiter(1 downto 0) <= "00"; -- go back to IDLE
229
          end if;
230
 
231
        when "110" => -- STOP: generate STOP condition
232
          if (twi_clk_phase(0) = '1') then
233 68 zero_gravi
            twi_sda_out <= '0';
234 2 zero_gravi
          elsif (twi_clk_phase(3) = '1') then
235 68 zero_gravi
            twi_sda_out <= '1';
236 69 zero_gravi
            irq_o       <= '1'; -- Interrupt!
237 2 zero_gravi
            arbiter(1 downto 0) <= "00"; -- go back to IDLE
238
          end if;
239 66 zero_gravi
          --
240 2 zero_gravi
          if (twi_clk_phase(0) = '1') then
241 68 zero_gravi
            twi_scl_out <= '0';
242 2 zero_gravi
          elsif (twi_clk_phase(1) = '1') then
243 68 zero_gravi
            twi_scl_out <= '1';
244 2 zero_gravi
          end if;
245
 
246
        when "111" => -- TRANSMISSION: transmission in progress
247
          if (twi_clk_phase(0) = '1') then
248 66 zero_gravi
            bitcnt    <= std_ulogic_vector(unsigned(bitcnt) + 1);
249 68 zero_gravi
            twi_scl_out <= '0';
250
            twi_sda_out <= rtx_sreg(8); -- MSB first
251 2 zero_gravi
          elsif (twi_clk_phase(1) = '1') then -- first half + second half of valid data strobe
252 68 zero_gravi
            twi_scl_out <= '1';
253 2 zero_gravi
          elsif (twi_clk_phase(3) = '1') then
254 68 zero_gravi
            rtx_sreg  <= rtx_sreg(7 downto 0) & twi_sda_in_ff(twi_sda_in_ff'left); -- sample and shift left
255
            twi_scl_out <= '0';
256 2 zero_gravi
          end if;
257 66 zero_gravi
          --
258
          if (bitcnt = "1010") then -- 8 data bits + 1 bit for ACK + 1 tick delay
259 69 zero_gravi
            irq_o <= '1'; -- Interrupt!
260 2 zero_gravi
            arbiter(1 downto 0) <= "00"; -- go back to IDLE
261
          end if;
262
 
263
        when others => -- "0--" OFFLINE: TWI deactivated
264 68 zero_gravi
          twi_sda_out <= '1';
265
          twi_scl_out <= '1';
266 66 zero_gravi
          arbiter(1 downto 0) <= "00"; -- stay here, go to idle when activated
267 2 zero_gravi
 
268
      end case;
269
    end if;
270
  end process twi_rtx_unit;
271
 
272
 
273
  -- Clock Stretching Detector --------------------------------------------------------------
274
  -- -------------------------------------------------------------------------------------------
275 68 zero_gravi
  -- controller wants to pull SCL high, but SCL is pulled low by peripheral --
276
  twi_clk_halt <= '1' when (twi_scl_out = '1') and (twi_scl_in_ff(twi_scl_in_ff'left) = '0') else '0';
277 2 zero_gravi
 
278
 
279
  -- Tri-State Driver -----------------------------------------------------------------------
280
  -- -------------------------------------------------------------------------------------------
281
  -- SDA and SCL need to be of type std_logic to be correctly resolved in simulation
282 68 zero_gravi
  twi_sda_io <= '0' when (twi_sda_out = '0') else 'Z';
283
  twi_scl_io <= '0' when (twi_scl_out = '0') else 'Z';
284 2 zero_gravi
 
285
  -- read-back --
286 68 zero_gravi
  twi_sda_in <= std_ulogic(twi_sda_io);
287
  twi_scl_in <= std_ulogic(twi_scl_io);
288 2 zero_gravi
 
289
 
290
end neorv32_twi_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.