OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_twi.vhd] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2 6 zero_gravi
-- # << NEORV32 - Two-Wire Interface Controller (TWI) >>                                           #
3 2 zero_gravi
-- # ********************************************************************************************* #
4
-- # Supports START and STOP conditions, 8 bit data + ACK/NACK transfers and clock stretching.     #
5 6 zero_gravi
-- # Supports ACKs by the constroller. No multi-controller support and no peripheral mode support  #
6
-- # yet. Interrupt: TWI_transfer_done                                                             #
7 2 zero_gravi
-- # ********************************************************************************************* #
8
-- # BSD 3-Clause License                                                                          #
9
-- #                                                                                               #
10 48 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
11 2 zero_gravi
-- #                                                                                               #
12
-- # Redistribution and use in source and binary forms, with or without modification, are          #
13
-- # permitted provided that the following conditions are met:                                     #
14
-- #                                                                                               #
15
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
16
-- #    conditions and the following disclaimer.                                                   #
17
-- #                                                                                               #
18
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
19
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
20
-- #    provided with the distribution.                                                            #
21
-- #                                                                                               #
22
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
23
-- #    endorse or promote products derived from this software without specific prior written      #
24
-- #    permission.                                                                                #
25
-- #                                                                                               #
26
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
27
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
28
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
29
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
30
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
31
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
32
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
33
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
34
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
35
-- # ********************************************************************************************* #
36
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
37
-- #################################################################################################
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.numeric_std.all;
42
 
43
library neorv32;
44
use neorv32.neorv32_package.all;
45
 
46
entity neorv32_twi is
47
  port (
48
    -- host access --
49
    clk_i       : in  std_ulogic; -- global clock line
50
    addr_i      : in  std_ulogic_vector(31 downto 0); -- address
51
    rden_i      : in  std_ulogic; -- read enable
52
    wren_i      : in  std_ulogic; -- write enable
53
    data_i      : in  std_ulogic_vector(31 downto 0); -- data in
54
    data_o      : out std_ulogic_vector(31 downto 0); -- data out
55
    ack_o       : out std_ulogic; -- transfer acknowledge
56
    -- clock generator --
57
    clkgen_en_o : out std_ulogic; -- enable clock generator
58
    clkgen_i    : in  std_ulogic_vector(07 downto 0);
59
    -- com lines --
60
    twi_sda_io  : inout std_logic; -- serial data line
61
    twi_scl_io  : inout std_logic; -- serial clock line
62
    -- interrupt --
63 48 zero_gravi
    irq_o       : out std_ulogic -- transfer done IRQ
64 2 zero_gravi
  );
65
end neorv32_twi;
66
 
67
architecture neorv32_twi_rtl of neorv32_twi is
68
 
69
  -- IO space: module base address --
70
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
71
  constant lo_abb_c : natural := index_size_f(twi_size_c); -- low address boundary bit
72
 
73
  -- control reg bits --
74
  constant ctrl_twi_en_c     : natural := 0; -- r/w: TWI enable
75
  constant ctrl_twi_start_c  : natural := 1; -- -/w: Generate START condition
76
  constant ctrl_twi_stop_c   : natural := 2; -- -/w: Generate STOP condition
77 48 zero_gravi
  constant ctrl_twi_prsc0_c  : natural := 3; -- r/w: CLK prsc bit 0
78
  constant ctrl_twi_prsc1_c  : natural := 4; -- r/w: CLK prsc bit 1
79
  constant ctrl_twi_prsc2_c  : natural := 5; -- r/w: CLK prsc bit 2
80
  constant ctrl_twi_mack_c   : natural := 6; -- r/w: generate ACK by controller for transmission
81
  constant ctrl_twi_cksten_c : natural := 7; -- r/w: enable clock stretching by peripheral
82 2 zero_gravi
  --
83
  constant ctrl_twi_ack_c    : natural := 30; -- r/-: Set if ACK received
84
  constant ctrl_twi_busy_c   : natural := 31; -- r/-: Set if TWI unit is busy
85
 
86
  -- access control --
87
  signal acc_en : std_ulogic; -- module access enable
88
  signal addr   : std_ulogic_vector(31 downto 0); -- access address
89
  signal wr_en  : std_ulogic; -- word write enable
90
  signal rd_en  : std_ulogic; -- read enable
91
 
92
  -- twi clocking --
93
  signal twi_clk        : std_ulogic;
94
  signal twi_phase_gen  : std_ulogic_vector(3 downto 0);
95
  signal twi_clk_phase  : std_ulogic_vector(3 downto 0);
96
 
97
  -- twi clock stretching --
98
  signal twi_clk_halt : std_ulogic;
99
 
100
  -- twi transceiver core --
101 48 zero_gravi
  signal ctrl         : std_ulogic_vector(7 downto 0); -- unit's control register
102 2 zero_gravi
  signal arbiter      : std_ulogic_vector(2 downto 0);
103
  signal twi_bitcnt   : std_ulogic_vector(3 downto 0);
104
  signal twi_rtx_sreg : std_ulogic_vector(8 downto 0); -- main rx/tx shift reg
105
 
106
  -- tri-state I/O --
107
  signal twi_sda_i_ff0, twi_sda_i_ff1 : std_ulogic; -- sda input sync
108
  signal twi_scl_i_ff0, twi_scl_i_ff1 : std_ulogic; -- sda input sync
109
  signal twi_sda_i,     twi_sda_o     : std_ulogic;
110
  signal twi_scl_i,     twi_scl_o     : std_ulogic;
111
 
112
begin
113
 
114
  -- Access Control -------------------------------------------------------------------------
115
  -- -------------------------------------------------------------------------------------------
116
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = twi_base_c(hi_abb_c downto lo_abb_c)) else '0';
117
  addr   <= twi_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
118
  wr_en  <= acc_en and wren_i;
119
  rd_en  <= acc_en and rden_i;
120
 
121
 
122
  -- Read/Write Access ----------------------------------------------------------------------
123
  -- -------------------------------------------------------------------------------------------
124
  rw_access: process(clk_i)
125
  begin
126
    if rising_edge(clk_i) then
127
      ack_o <= acc_en and (rden_i or wren_i);
128
      -- write access --
129
      if (wr_en = '1') then
130
        if (addr = twi_ctrl_addr_c) then
131 22 zero_gravi
          ctrl <= data_i(ctrl'left downto 0);
132 2 zero_gravi
        end if;
133
      end if;
134
      -- read access --
135
      data_o <= (others => '0');
136
      if (rd_en = '1') then
137
        if (addr = twi_ctrl_addr_c) then
138
          data_o(ctrl_twi_en_c)     <= ctrl(ctrl_twi_en_c);
139
          data_o(ctrl_twi_prsc0_c)  <= ctrl(ctrl_twi_prsc0_c);
140
          data_o(ctrl_twi_prsc1_c)  <= ctrl(ctrl_twi_prsc1_c);
141
          data_o(ctrl_twi_prsc2_c)  <= ctrl(ctrl_twi_prsc2_c);
142
          data_o(ctrl_twi_mack_c)   <= ctrl(ctrl_twi_mack_c);
143 35 zero_gravi
          data_o(ctrl_twi_cksten_c) <= ctrl(ctrl_twi_cksten_c);
144 2 zero_gravi
          --
145
          data_o(ctrl_twi_ack_c)    <= not twi_rtx_sreg(0);
146
          data_o(ctrl_twi_busy_c)   <= arbiter(1) or arbiter(0);
147
        else -- twi_rtx_addr_c =>
148
          data_o(7 downto 0)        <= twi_rtx_sreg(8 downto 1);
149
 
150
        end if;
151
      end if;
152
    end if;
153
  end process rw_access;
154
 
155
 
156
  -- Clock Generation -----------------------------------------------------------------------
157
  -- -------------------------------------------------------------------------------------------
158
  -- clock generator enable --
159
  clkgen_en_o <= ctrl(ctrl_twi_en_c);
160
 
161
  -- main twi clock select --
162
  twi_clk <= clkgen_i(to_integer(unsigned(ctrl(ctrl_twi_prsc2_c downto ctrl_twi_prsc0_c))));
163
 
164
  -- generate four non-overlapping clock ticks at twi_clk/4 --
165
  clock_phase_gen: process(clk_i)
166
  begin
167
    if rising_edge(clk_i) then
168
      if (arbiter(2) = '0') or (arbiter = "100") then -- offline or idle
169
        twi_phase_gen <= "0001"; -- make sure to start with a new phase, 0,1,2,3 stepping
170
      elsif (twi_clk = '1') and (twi_clk_halt = '0') then -- enabled and no clock stretching detected
171
        twi_phase_gen <= twi_phase_gen(2 downto 0) & twi_phase_gen(3); -- shift left
172
      end if;
173
    end if;
174
  end process clock_phase_gen;
175
 
176
  twi_clk_phase(0) <= twi_phase_gen(0) and twi_clk; -- first step
177
  twi_clk_phase(1) <= twi_phase_gen(1) and twi_clk;
178
  twi_clk_phase(2) <= twi_phase_gen(2) and twi_clk;
179
  twi_clk_phase(3) <= twi_phase_gen(3) and twi_clk; -- last step
180
 
181
 
182
  -- TWI Transceiver ------------------------------------------------------------------------
183
  -- -------------------------------------------------------------------------------------------
184
  twi_rtx_unit: process(clk_i)
185
  begin
186
    if rising_edge(clk_i) then
187
      -- input synchronizer & sampler --
188
      twi_sda_i_ff0 <= twi_sda_i;
189
      twi_sda_i_ff1 <= twi_sda_i_ff0;
190
      twi_scl_i_ff0 <= twi_scl_i;
191
      twi_scl_i_ff1 <= twi_scl_i_ff0;
192
 
193 65 zero_gravi
      -- interrupt --
194
      if (arbiter = "100") then -- fire IRQ if enabled transceiver is idle
195
        irq_o <= '1';
196
      else
197
        irq_o <= '0';
198
      end if;
199
 
200 2 zero_gravi
      -- defaults --
201
      arbiter(2) <= ctrl(ctrl_twi_en_c); -- still activated?
202
 
203
      -- serial engine --
204
      -- TWI bus signals are set/sampled using 4 clock phases
205
      case arbiter is
206
 
207 6 zero_gravi
        when "100" => -- IDLE: waiting for requests, bus might be still claimed by this controller if no STOP condition was generated
208 2 zero_gravi
          twi_bitcnt <= (others => '0');
209
          if (wr_en = '1') then
210
            if (addr = twi_ctrl_addr_c) then
211
              if (data_i(ctrl_twi_start_c) = '1') then -- issue START condition
212
                arbiter(1 downto 0) <= "01";
213
              elsif (data_i(ctrl_twi_stop_c) = '1') then  -- issue STOP condition
214
                arbiter(1 downto 0) <= "10";
215
              end if;
216
            elsif (addr = twi_rtx_addr_c) then -- start a data transmission
217 6 zero_gravi
              -- one bit extra for ack, issued by controller if ctrl_twi_mack_c is set,
218
              -- sampled from peripheral if ctrl_twi_mack_c is cleared
219 22 zero_gravi
              twi_rtx_sreg <= data_i(7 downto 0) & (not ctrl(ctrl_twi_mack_c));
220
              arbiter(1 downto 0) <= "11";
221 2 zero_gravi
            end if;
222
          end if;
223
 
224
        when "101" => -- START: generate START condition
225
          if (twi_clk_phase(0) = '1') then
226
            twi_sda_o <= '1';
227
          elsif (twi_clk_phase(1) = '1') then
228
            twi_sda_o <= '0';
229
          end if;
230
 
231
          if (twi_clk_phase(0) = '1') then
232
            twi_scl_o <= '1';
233
          elsif (twi_clk_phase(3) = '1') then
234
            twi_scl_o <= '0';
235
            arbiter(1 downto 0) <= "00"; -- go back to IDLE
236
          end if;
237
 
238
        when "110" => -- STOP: generate STOP condition
239
          if (twi_clk_phase(0) = '1') then
240
            twi_sda_o <= '0';
241
          elsif (twi_clk_phase(3) = '1') then
242
            twi_sda_o <= '1';
243
            arbiter(1 downto 0) <= "00"; -- go back to IDLE
244
          end if;
245
 
246
          if (twi_clk_phase(0) = '1') then
247
            twi_scl_o <= '0';
248
          elsif (twi_clk_phase(1) = '1') then
249
            twi_scl_o <= '1';
250
          end if;
251
 
252
        when "111" => -- TRANSMISSION: transmission in progress
253
          if (twi_clk_phase(0) = '1') then
254
            twi_bitcnt   <= std_ulogic_vector(unsigned(twi_bitcnt) + 1);
255
            twi_scl_o    <= '0';
256
            twi_sda_o    <= twi_rtx_sreg(8); -- MSB first
257
          elsif (twi_clk_phase(1) = '1') then -- first half + second half of valid data strobe
258
            twi_scl_o    <= '1';
259
          elsif (twi_clk_phase(3) = '1') then
260
            twi_rtx_sreg <= twi_rtx_sreg(7 downto 0) & twi_sda_i_ff1; -- sample and shift left
261
            twi_scl_o    <= '0';
262
          end if;
263
 
264
          if (twi_bitcnt = "1010") then -- 8 data bits + 1 bit for ACK + 1 tick delay
265
            arbiter(1 downto 0) <= "00"; -- go back to IDLE
266
          end if;
267
 
268
        when others => -- "0--" OFFLINE: TWI deactivated
269
          twi_sda_o <= '1';
270
          twi_scl_o <= '1';
271
          arbiter   <= ctrl(ctrl_twi_en_c) & "00"; -- stay here, go to idle when activated
272
 
273
      end case;
274
    end if;
275
  end process twi_rtx_unit;
276
 
277
 
278
  -- Clock Stretching Detector --------------------------------------------------------------
279
  -- -------------------------------------------------------------------------------------------
280 35 zero_gravi
  clock_stretching: process(ctrl, arbiter, twi_scl_o, twi_scl_i_ff1)
281 2 zero_gravi
  begin
282 6 zero_gravi
    -- clock stretching by the peripheral can happen at "any time"
283 35 zero_gravi
    if (arbiter(2) = '1') and              -- module enabled
284
       (ctrl(ctrl_twi_cksten_c) = '1') and -- clock stretching enabled
285
       (twi_scl_o = '1') and               -- controller wants to pull scl high
286
       (twi_scl_i_ff1 = '0') then          -- but scl is pulled low by peripheral
287 2 zero_gravi
      twi_clk_halt <= '1';
288
    else
289
      twi_clk_halt <= '0';
290
    end if;
291
  end process clock_stretching;
292
 
293
 
294
  -- Tri-State Driver -----------------------------------------------------------------------
295
  -- -------------------------------------------------------------------------------------------
296
  -- SDA and SCL need to be of type std_logic to be correctly resolved in simulation
297
  twi_sda_io <= '0' when (twi_sda_o = '0') else 'Z';
298
  twi_scl_io <= '0' when (twi_scl_o = '0') else 'Z';
299
 
300
  -- read-back --
301
  twi_sda_i <= std_ulogic(twi_sda_io);
302
  twi_scl_i <= std_ulogic(twi_scl_io);
303
 
304
 
305
end neorv32_twi_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.