OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_uart.vhd] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2 50 zero_gravi
-- # << NEORV32 - Universal Asynchronous Receiver and Transmitter (UART0/1) >>                     #
3 2 zero_gravi
-- # ********************************************************************************************* #
4 51 zero_gravi
-- # Frame configuration: 1 start bit, 8 bit data, parity bit (none/even/odd), 1 stop bit,         #
5 65 zero_gravi
-- # programmable BAUD rate via clock pre-scaler and 12-bit BAUD value configuration register,     #
6
-- # optional configurable RX and TX FIFOs.                                                        #
7 30 zero_gravi
-- #                                                                                               #
8 65 zero_gravi
-- # Interrupts: Configurable RX and TX interrupt (both triggered by specific FIFO fill-levels)    #
9
-- #                                                                                               #
10 51 zero_gravi
-- # Support for RTS("RTR")/CTS hardware flow control:                                             #
11 65 zero_gravi
-- # * uart_rts_o = 0: RX is ready to receive a new char, enabled via CTRL.ctrl_rts_en_c           #
12
-- # * uart_cts_i = 0: TX is allowed to send a new char, enabled via CTRL.ctrl_cts_en_c            #
13 51 zero_gravi
-- #                                                                                               #
14 50 zero_gravi
-- # UART0 / UART1:                                                                                #
15
-- # This module is used for implementing UART0 and UART1. The UART_PRIMARY generic configures the #
16 65 zero_gravi
-- # interface register addresses and simulation outputs for UART0 (UART_PRIMARY = true) or UART1  #
17
-- # (UART_PRIMARY = false).                                                                       #
18 50 zero_gravi
-- #                                                                                               #
19 51 zero_gravi
-- # SIMULATION MODE:                                                                              #
20 65 zero_gravi
-- # When the simulation mode is enabled (setting the ctrl.ctrl_sim_en_c bit) any write            #
21 30 zero_gravi
-- # access to the TX register will not trigger any UART activity. Instead, the written data is    #
22
-- # output to the simulation environment. The lowest 8 bits of the written data are printed as    #
23 50 zero_gravi
-- # ASCII char to the simulator console.                                                          #
24
-- # This char is also stored to the file "neorv32.uartX.sim_mode.text.out" (where X = 0 for UART0 #
25
-- # and X = 1 for UART1). The full 32-bit write data is also stored as 8-digit hexadecimal value  #
26
-- # to the file "neorv32.uartX.sim_mode.data.out" (where X = 0 for UART0 and X = 1 for UART1).    #
27 51 zero_gravi
-- # No interrupts are triggered when in SIMULATION MODE.                                          #
28 2 zero_gravi
-- # ********************************************************************************************* #
29
-- # BSD 3-Clause License                                                                          #
30
-- #                                                                                               #
31 48 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
32 2 zero_gravi
-- #                                                                                               #
33
-- # Redistribution and use in source and binary forms, with or without modification, are          #
34
-- # permitted provided that the following conditions are met:                                     #
35
-- #                                                                                               #
36
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
37
-- #    conditions and the following disclaimer.                                                   #
38
-- #                                                                                               #
39
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
40
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
41
-- #    provided with the distribution.                                                            #
42
-- #                                                                                               #
43
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
44
-- #    endorse or promote products derived from this software without specific prior written      #
45
-- #    permission.                                                                                #
46
-- #                                                                                               #
47
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
48
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
49
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
50
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
51
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
52
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
53
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
54
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
55
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
56
-- # ********************************************************************************************* #
57
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
58
-- #################################################################################################
59
 
60
library ieee;
61
use ieee.std_logic_1164.all;
62
use ieee.numeric_std.all;
63
 
64
library neorv32;
65
use neorv32.neorv32_package.all;
66 65 zero_gravi
use std.textio.all;
67 2 zero_gravi
 
68
entity neorv32_uart is
69 50 zero_gravi
  generic (
70 65 zero_gravi
    UART_PRIMARY : boolean; -- true = primary UART (UART0), false = secondary UART (UART1)
71
    UART_RX_FIFO : natural; -- RX fifo depth, has to be a power of two, min 1
72
    UART_TX_FIFO : natural  -- TX fifo depth, has to be a power of two, min 1
73 50 zero_gravi
  );
74 2 zero_gravi
  port (
75
    -- host access --
76
    clk_i       : in  std_ulogic; -- global clock line
77
    addr_i      : in  std_ulogic_vector(31 downto 0); -- address
78
    rden_i      : in  std_ulogic; -- read enable
79
    wren_i      : in  std_ulogic; -- write enable
80
    data_i      : in  std_ulogic_vector(31 downto 0); -- data in
81
    data_o      : out std_ulogic_vector(31 downto 0); -- data out
82
    ack_o       : out std_ulogic; -- transfer acknowledge
83
    -- clock generator --
84
    clkgen_en_o : out std_ulogic; -- enable clock generator
85
    clkgen_i    : in  std_ulogic_vector(07 downto 0);
86
    -- com lines --
87
    uart_txd_o  : out std_ulogic;
88
    uart_rxd_i  : in  std_ulogic;
89 51 zero_gravi
    -- hardware flow control --
90
    uart_rts_o  : out std_ulogic; -- UART.RX ready to receive ("RTR"), low-active, optional
91
    uart_cts_i  : in  std_ulogic; -- UART.TX allowed to transmit, low-active, optional
92 2 zero_gravi
    -- interrupts --
93 48 zero_gravi
    irq_rxd_o   : out std_ulogic; -- uart data received interrupt
94
    irq_txd_o   : out std_ulogic  -- uart transmission done interrupt
95 2 zero_gravi
  );
96
end neorv32_uart;
97
 
98
architecture neorv32_uart_rtl of neorv32_uart is
99
 
100 50 zero_gravi
  -- interface configuration for UART0 / UART1 --
101
  constant uart_id_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := cond_sel_stdulogicvector_f(UART_PRIMARY, uart0_base_c,      uart1_base_c);
102
  constant uart_id_size_c      : natural                                    := cond_sel_natural_f(        UART_PRIMARY, uart0_size_c,      uart1_size_c);
103
  constant uart_id_ctrl_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := cond_sel_stdulogicvector_f(UART_PRIMARY, uart0_ctrl_addr_c, uart1_ctrl_addr_c);
104
  constant uart_id_rtx_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := cond_sel_stdulogicvector_f(UART_PRIMARY, uart0_rtx_addr_c,  uart1_rtx_addr_c);
105
 
106
  -- IO space: module base address --
107
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
108
  constant lo_abb_c : natural := index_size_f(uart_id_size_c); -- low address boundary bit
109
 
110 30 zero_gravi
  -- simulation output configuration --
111
  constant sim_screen_output_en_c : boolean := true; -- output lowest byte as char to simulator console when enabled
112
  constant sim_text_output_en_c   : boolean := true; -- output lowest byte as char to text file when enabled
113
  constant sim_data_output_en_c   : boolean := true; -- dump 32-word to file when enabled
114 65 zero_gravi
  constant sim_uart_text_file_c   : string  := cond_sel_string_f(UART_PRIMARY, "neorv32.uart0.sim_mode.text.out", "neorv32.uart1.sim_mode.text.out");
115
  constant sim_uart_data_file_c   : string  := cond_sel_string_f(UART_PRIMARY, "neorv32.uart0.sim_mode.data.out", "neorv32.uart1.sim_mode.data.out");
116 30 zero_gravi
 
117 51 zero_gravi
  -- control register --
118 2 zero_gravi
  signal ctrl : std_ulogic_vector(31 downto 0);
119
 
120 51 zero_gravi
  -- control register bits --
121 65 zero_gravi
  constant ctrl_baud00_c   : natural :=  0; -- r/w: baud config bit 0
122
  constant ctrl_baud01_c   : natural :=  1; -- r/w: baud config bit 1
123
  constant ctrl_baud02_c   : natural :=  2; -- r/w: baud config bit 2
124
  constant ctrl_baud03_c   : natural :=  3; -- r/w: baud config bit 3
125
  constant ctrl_baud04_c   : natural :=  4; -- r/w: baud config bit 4
126
  constant ctrl_baud05_c   : natural :=  5; -- r/w: baud config bit 5
127
  constant ctrl_baud06_c   : natural :=  6; -- r/w: baud config bit 6
128
  constant ctrl_baud07_c   : natural :=  7; -- r/w: baud config bit 7
129
  constant ctrl_baud08_c   : natural :=  8; -- r/w: baud config bit 8
130
  constant ctrl_baud09_c   : natural :=  9; -- r/w: baud config bit 9
131
  constant ctrl_baud10_c   : natural := 10; -- r/w: baud config bit 10
132
  constant ctrl_baud11_c   : natural := 11; -- r/w: baud config bit 11
133
  constant ctrl_sim_en_c   : natural := 12; -- r/w: UART <<SIMULATION MODE>> enable
134
  constant ctrl_rx_empty_c : natural := 13; -- r/-: RX FIFO is empty
135
  constant ctrl_rx_half_c  : natural := 14; -- r/-: RX FIFO is at least half-full
136
  constant ctrl_rx_full_c  : natural := 15; -- r/-: RX FIFO is full
137
  constant ctrl_tx_empty_c : natural := 16; -- r/-: TX FIFO is empty
138
  constant ctrl_tx_half_c  : natural := 17; -- r/-: TX FIFO is at least half-full
139
  constant ctrl_tx_full_c  : natural := 18; -- r/-: TX FIFO is full
140 51 zero_gravi
  -- ...
141 65 zero_gravi
  constant ctrl_rts_en_c   : natural := 20; -- r/w: enable hardware flow control: assert rts_o if ready to receive
142
  constant ctrl_cts_en_c   : natural := 21; -- r/w: enable hardware flow control: send only if cts_i is asserted
143
  constant ctrl_pmode0_c   : natural := 22; -- r/w: Parity config (0=even; 1=odd)
144
  constant ctrl_pmode1_c   : natural := 23; -- r/w: Enable parity bit
145
  constant ctrl_prsc0_c    : natural := 24; -- r/w: baud prsc bit 0
146
  constant ctrl_prsc1_c    : natural := 25; -- r/w: baud prsc bit 1
147
  constant ctrl_prsc2_c    : natural := 26; -- r/w: baud prsc bit 2
148
  constant ctrl_cts_c      : natural := 27; -- r/-: current state of CTS input
149
  constant ctrl_en_c       : natural := 28; -- r/w: UART enable
150
  constant ctrl_rx_irq_c   : natural := 29; -- r/w: RX IRQ mode: 1=FIFO at least half-full; 0=FIFO not empty
151
  constant ctrl_tx_irq_c   : natural := 30; -- r/w: TX IRQ mode: 1=FIFO less than half-full; 0=FIFO not full
152
  constant ctrl_tx_busy_c  : natural := 31; -- r/-: UART transmitter is busy
153 2 zero_gravi
 
154
  -- data register flags --
155 65 zero_gravi
  constant data_lsb_c      : natural :=  0; -- r/-: received char LSB
156
  constant data_msb_c      : natural :=  7; -- r/-: received char MSB
157
  -- ...
158
  constant data_rx_perr_c  : natural := 28; -- r/-: RX parity error
159
  constant data_rx_ferr_c  : natural := 29; -- r/-: RX frame error
160
  constant data_rx_overr_c : natural := 30; -- r/-: RX data overrun
161
  constant data_rx_avail_c : natural := 31; -- r/-: RX data available
162 2 zero_gravi
 
163
  -- access control --
164
  signal acc_en : std_ulogic; -- module access enable
165
  signal addr   : std_ulogic_vector(31 downto 0); -- access address
166
  signal wr_en  : std_ulogic; -- word write enable
167
  signal rd_en  : std_ulogic; -- read enable
168
 
169
  -- clock generator --
170
  signal uart_clk : std_ulogic;
171
 
172 42 zero_gravi
  -- numbers of bits in transmission frame --
173
  signal num_bits : std_ulogic_vector(03 downto 0);
174
 
175 51 zero_gravi
  -- hardware flow-control IO buffer --
176
  signal uart_cts_ff : std_ulogic_vector(1 downto 0);
177
  signal uart_rts    : std_ulogic;
178
 
179 65 zero_gravi
  -- UART transmitter --
180
  type tx_state_t is (S_TX_IDLE, S_TX_GET, S_TX_CHECK, S_TX_TRANSMIT, S_TX_SIM);
181
  type tx_engine_t is record
182
    state    : tx_state_t;
183
    busy     : std_ulogic;
184
    bitcnt   : std_ulogic_vector(03 downto 0);
185
    sreg     : std_ulogic_vector(10 downto 0);
186
    baud_cnt : std_ulogic_vector(11 downto 0);
187
    cts      : std_ulogic; -- allow new transmission when 1
188 42 zero_gravi
  end record;
189 65 zero_gravi
  signal tx_engine : tx_engine_t;
190 2 zero_gravi
 
191 65 zero_gravi
  -- UART receiver --
192
  type rx_state_t is (S_RX_IDLE, S_RX_RECEIVE);
193
  type rx_engine_t is record
194
    state    : rx_state_t;
195 42 zero_gravi
    sync     : std_ulogic_vector(04 downto 0);
196
    bitcnt   : std_ulogic_vector(03 downto 0);
197
    sreg     : std_ulogic_vector(09 downto 0);
198
    baud_cnt : std_ulogic_vector(11 downto 0);
199 65 zero_gravi
    overr    : std_ulogic;
200 51 zero_gravi
    rtr      : std_ulogic; -- ready to receive when 1
201 42 zero_gravi
  end record;
202 65 zero_gravi
  signal rx_engine : rx_engine_t;
203 2 zero_gravi
 
204 65 zero_gravi
  -- TX FIFO --
205
  type tx_buffer_t is record
206
    we    : std_ulogic; -- write enable
207
    re    : std_ulogic; -- read enable
208
    clear : std_ulogic; -- sync reset, high-active
209
    wdata : std_ulogic_vector(31 downto 0); -- write data
210
    rdata : std_ulogic_vector(31 downto 0); -- read data
211
    avail : std_ulogic; -- data available?
212
    free  : std_ulogic; -- free entry available?
213
    half  : std_ulogic; -- half full
214
  end record;
215
  signal tx_buffer : tx_buffer_t;
216
 
217
  -- RX FIFO --
218
  type rx_buffer_t is record
219
    we    : std_ulogic; -- write enable
220
    re    : std_ulogic; -- read enable
221
    clear : std_ulogic; -- sync reset, high-active
222
    wdata : std_ulogic_vector(9 downto 0); -- write data
223
    rdata : std_ulogic_vector(9 downto 0); -- read data
224
    avail : std_ulogic; -- data available?
225
    free  : std_ulogic; -- free entry available?
226
    half  : std_ulogic; -- half full
227
  end record;
228
  signal rx_buffer : rx_buffer_t;
229
 
230 2 zero_gravi
begin
231
 
232 65 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
233
  -- -------------------------------------------------------------------------------------------
234
  assert not (is_power_of_two_f(UART_RX_FIFO) = false) report "NEORV32 PROCESSOR CONFIG ERROR: UART" &
235
  cond_sel_string_f(UART_PRIMARY, "0", "1") & " <UART_RX_FIFO> has to be a power of two." severity error;
236
  assert not (is_power_of_two_f(UART_TX_FIFO) = false) report "NEORV32 PROCESSOR CONFIG ERROR: UART" &
237
  cond_sel_string_f(UART_PRIMARY, "0", "1") & " <UART_TX_FIFO> has to be a power of two." severity error;
238
 
239
 
240 2 zero_gravi
  -- Access Control -------------------------------------------------------------------------
241
  -- -------------------------------------------------------------------------------------------
242 50 zero_gravi
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = uart_id_base_c(hi_abb_c downto lo_abb_c)) else '0';
243
  addr   <= uart_id_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
244 2 zero_gravi
  wr_en  <= acc_en and wren_i;
245
  rd_en  <= acc_en and rden_i;
246
 
247
 
248
  -- Read/Write Access ----------------------------------------------------------------------
249
  -- -------------------------------------------------------------------------------------------
250
  rw_access: process(clk_i)
251
  begin
252
    if rising_edge(clk_i) then
253 65 zero_gravi
      -- bus access acknowledge --
254
      ack_o <= wr_en or rd_en;
255
 
256 2 zero_gravi
      -- write access --
257
      if (wr_en = '1') then
258 50 zero_gravi
        if (addr = uart_id_ctrl_addr_c) then
259 42 zero_gravi
          ctrl <= (others => '0');
260 65 zero_gravi
          ctrl(ctrl_baud11_c downto ctrl_baud00_c) <= data_i(ctrl_baud11_c downto ctrl_baud00_c);
261
          ctrl(ctrl_sim_en_c)                      <= data_i(ctrl_sim_en_c);
262
          ctrl(ctrl_pmode1_c downto ctrl_pmode0_c) <= data_i(ctrl_pmode1_c downto ctrl_pmode0_c);
263
          ctrl(ctrl_prsc2_c  downto ctrl_prsc0_c)  <= data_i(ctrl_prsc2_c  downto ctrl_prsc0_c);
264
          ctrl(ctrl_rts_en_c)                      <= data_i(ctrl_rts_en_c);
265
          ctrl(ctrl_cts_en_c)                      <= data_i(ctrl_cts_en_c);
266
          ctrl(ctrl_rx_irq_c)                      <= data_i(ctrl_rx_irq_c);
267
          ctrl(ctrl_tx_irq_c)                      <= data_i(ctrl_tx_irq_c);
268
          ctrl(ctrl_en_c)                          <= data_i(ctrl_en_c);
269 2 zero_gravi
        end if;
270
      end if;
271 65 zero_gravi
 
272 2 zero_gravi
      -- read access --
273
      data_o <= (others => '0');
274
      if (rd_en = '1') then
275 50 zero_gravi
        if (addr = uart_id_ctrl_addr_c) then
276 65 zero_gravi
          data_o(ctrl_baud11_c downto ctrl_baud00_c) <= ctrl(ctrl_baud11_c downto ctrl_baud00_c);
277
          data_o(ctrl_sim_en_c)                      <= ctrl(ctrl_sim_en_c);
278
          data_o(ctrl_pmode1_c downto ctrl_pmode0_c) <= ctrl(ctrl_pmode1_c downto ctrl_pmode0_c);
279
          data_o(ctrl_prsc2_c  downto ctrl_prsc0_c)  <= ctrl(ctrl_prsc2_c  downto ctrl_prsc0_c);
280
          data_o(ctrl_rts_en_c)                      <= ctrl(ctrl_rts_en_c);
281
          data_o(ctrl_cts_en_c)                      <= ctrl(ctrl_cts_en_c);
282
          data_o(ctrl_rx_empty_c)                    <= not rx_buffer.avail;
283
          data_o(ctrl_rx_half_c)                     <= rx_buffer.half;
284
          data_o(ctrl_rx_full_c)                     <= not rx_buffer.free;
285
          data_o(ctrl_tx_empty_c)                    <= not tx_buffer.avail;
286
          data_o(ctrl_tx_half_c)                     <= tx_buffer.half;
287
          data_o(ctrl_tx_full_c)                     <= not tx_buffer.free;
288
          data_o(ctrl_en_c)                          <= ctrl(ctrl_en_c);
289
          data_o(ctrl_rx_irq_c)                      <= ctrl(ctrl_rx_irq_c) and bool_to_ulogic_f(boolean(UART_RX_FIFO > 1)); -- tie to zero if UART_RX_FIFO = 1
290
          data_o(ctrl_tx_irq_c)                      <= ctrl(ctrl_tx_irq_c) and bool_to_ulogic_f(boolean(UART_TX_FIFO > 1)); -- tie to zero if UART_TX_FIFO = 1
291
          data_o(ctrl_tx_busy_c)                     <= tx_engine.busy;
292
          data_o(ctrl_cts_c)                         <= uart_cts_ff(1);
293 50 zero_gravi
        else -- uart_id_rtx_addr_c
294 65 zero_gravi
          data_o(data_msb_c downto data_lsb_c) <= rx_buffer.rdata(7 downto 0);
295
          data_o(data_rx_perr_c)               <= rx_buffer.rdata(8);
296
          data_o(data_rx_ferr_c)               <= rx_buffer.rdata(9);
297
          data_o(data_rx_overr_c)              <= rx_engine.overr;
298
          data_o(data_rx_avail_c)              <= rx_buffer.avail; -- data available (valid?)
299 2 zero_gravi
        end if;
300
      end if;
301
    end if;
302
  end process rw_access;
303
 
304 42 zero_gravi
  -- number of bits to be sampled --
305 51 zero_gravi
  -- if parity flag is ENABLED:  11 bit -> "1011" (1 start bit + 8 data bits + 1 parity bit + 1 stop bit)
306
  -- if parity flag is DISABLED: 10 bit -> "1010" (1 start bit + 8 data bits + 1 stop bit)
307 65 zero_gravi
  num_bits <= "1011" when (ctrl(ctrl_pmode1_c) = '1') else "1010";
308 2 zero_gravi
 
309 42 zero_gravi
 
310 2 zero_gravi
  -- Clock Selection ------------------------------------------------------------------------
311
  -- -------------------------------------------------------------------------------------------
312
  -- clock enable --
313 65 zero_gravi
  clkgen_en_o <= ctrl(ctrl_en_c);
314 2 zero_gravi
 
315
  -- uart clock select --
316 65 zero_gravi
  uart_clk <= clkgen_i(to_integer(unsigned(ctrl(ctrl_prsc2_c downto ctrl_prsc0_c))));
317 2 zero_gravi
 
318
 
319 65 zero_gravi
  -- TX FIFO --------------------------------------------------------------------------------
320 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
321 65 zero_gravi
  tx_engine_fifo_inst: neorv32_fifo
322
  generic map (
323
    FIFO_DEPTH => UART_TX_FIFO, -- number of fifo entries; has to be a power of two; min 1
324
    FIFO_WIDTH => 32,           -- size of data elements in fifo (32-bit only for simulation)
325
    FIFO_RSYNC => false,        -- async read
326
    FIFO_SAFE  => true          -- safe access
327
  )
328
  port map (
329
    -- control --
330
    clk_i   => clk_i,           -- clock, rising edge
331
    rstn_i  => '1',             -- async reset, low-active
332
    clear_i => tx_buffer.clear, -- sync reset, high-active
333
    level_o => open,
334
    half_o  => tx_buffer.half,  -- FIFO at least half-full
335
    -- write port --
336
    wdata_i => tx_buffer.wdata, -- write data
337
    we_i    => tx_buffer.we,    -- write enable
338
    free_o  => tx_buffer.free,  -- at least one entry is free when set
339
    -- read port --
340
    re_i    => tx_buffer.re,    -- read enable
341
    rdata_o => tx_buffer.rdata, -- read data
342
    avail_o => tx_buffer.avail  -- data available when set
343
  );
344
 
345
  -- control --
346
  tx_buffer.clear <= not ctrl(ctrl_en_c);
347
 
348
  -- write access --
349
  tx_buffer.we    <= '1' when (wr_en = '1') and (addr = uart_id_rtx_addr_c) else '0';
350
  tx_buffer.wdata <= data_i;
351
 
352
 
353
  -- UART Transmitter Engine ----------------------------------------------------------------
354
  -- -------------------------------------------------------------------------------------------
355
  uart_tx_engine: process(clk_i)
356 2 zero_gravi
  begin
357
    if rising_edge(clk_i) then
358 65 zero_gravi
      -- defaults --
359
      uart_txd_o   <= '1'; -- keep TX line idle (=high) if waiting for permission to start sending (->CTS)
360
      tx_buffer.re <= '0';
361
 
362
      -- FSM --
363
      if (ctrl(ctrl_en_c) = '0') then -- disabled
364
        tx_engine.state <= S_TX_IDLE;
365
      else
366
        case tx_engine.state is
367
 
368
          when S_TX_IDLE => -- wait for new data to send
369
          -- ------------------------------------------------------------
370
            if (tx_buffer.avail = '1') then -- new data available
371
              if (ctrl(ctrl_sim_en_c) = '0') then -- normal mode
372
                tx_engine.state <= S_TX_GET;
373
              else -- simulation mode
374
                tx_engine.state <= S_TX_SIM;
375
              end if;
376
              tx_buffer.re <= '1';
377
            end if;
378
 
379
          when S_TX_GET => -- get new data from buffer and prepare transmission
380
          -- ------------------------------------------------------------
381
            tx_engine.baud_cnt <= ctrl(ctrl_baud11_c downto ctrl_baud00_c);
382
            tx_engine.bitcnt   <= num_bits;
383
            if (ctrl(ctrl_pmode1_c) = '1') then -- add parity flag
384
              -- stop bit & parity bit & data (8-bit) & start bit
385
              tx_engine.sreg <= '1' & (xor_reduce_f(tx_buffer.rdata(7 downto 0)) xor ctrl(ctrl_pmode0_c)) & tx_buffer.rdata(7 downto 0) & '0';
386
            else
387
              -- (dummy fill-bit &) stop bit & data (8-bit) & start bit
388
              tx_engine.sreg <= '1' & '1' & tx_buffer.rdata(7 downto 0) & '0';
389
            end if;
390
            tx_engine.state <= S_TX_CHECK;
391
 
392
          when S_TX_CHECK => -- check if allowed to send
393
          -- ------------------------------------------------------------
394
            if (tx_engine.cts = '1') then -- clear to send
395
              tx_engine.state <= S_TX_TRANSMIT;
396
            end if;
397
 
398
          when S_TX_TRANSMIT => -- transmit data
399
          -- ------------------------------------------------------------
400
            if (uart_clk = '1') then
401
              if (tx_engine.baud_cnt = x"000") then
402
                tx_engine.baud_cnt <= ctrl(ctrl_baud11_c downto ctrl_baud00_c);
403
                tx_engine.bitcnt   <= std_ulogic_vector(unsigned(tx_engine.bitcnt) - 1);
404
                tx_engine.sreg     <= '1' & tx_engine.sreg(tx_engine.sreg'left downto 1);
405
              else
406
                tx_engine.baud_cnt <= std_ulogic_vector(unsigned(tx_engine.baud_cnt) - 1);
407
              end if;
408
            end if;
409
            uart_txd_o <= tx_engine.sreg(0);
410
            if (tx_engine.bitcnt = "0000") then -- all bits send?
411
              tx_engine.state <= S_TX_IDLE;
412
            end if;
413
 
414
          when S_TX_SIM => -- simulation mode output
415
          -- ------------------------------------------------------------
416
            tx_engine.state <= S_TX_IDLE;
417
 
418
          when others => -- undefined
419
          -- ------------------------------------------------------------
420
            tx_engine.state <= S_TX_IDLE;
421
 
422
        end case;
423 2 zero_gravi
      end if;
424
    end if;
425 65 zero_gravi
  end process uart_tx_engine;
426 2 zero_gravi
 
427 65 zero_gravi
  -- transmitter busy --
428
  tx_engine.busy <= '0' when (tx_engine.state = S_TX_IDLE) else '1';
429 2 zero_gravi
 
430 65 zero_gravi
 
431
  -- UART Receiver Engine -------------------------------------------------------------------
432 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
433 65 zero_gravi
  uart_rx_engine: process(clk_i)
434 2 zero_gravi
  begin
435
    if rising_edge(clk_i) then
436
      -- input synchronizer --
437 65 zero_gravi
      rx_engine.sync <= uart_rxd_i & rx_engine.sync(4 downto 1);
438 2 zero_gravi
 
439 65 zero_gravi
      -- FSM --
440
      if (ctrl(ctrl_en_c) = '0') then -- disabled
441
        rx_engine.overr <= '0';
442
        rx_engine.state <= S_RX_IDLE;
443
      else
444
        case rx_engine.state is
445
 
446
          when S_RX_IDLE => -- idle; prepare receive
447
          -- ------------------------------------------------------------
448
            rx_engine.baud_cnt <= '0' & ctrl(ctrl_baud11_c downto ctrl_baud01_c); -- half baud delay at the beginning to sample in the middle of each bit
449
            rx_engine.bitcnt   <= num_bits;
450
            if (rx_engine.sync(2 downto 0) = "001") then -- start bit? (falling edge)
451
              rx_engine.state <= S_RX_RECEIVE;
452
            end if;
453
 
454
          when S_RX_RECEIVE => -- receive data
455
          -- ------------------------------------------------------------
456
            if (uart_clk = '1') then
457
              if (rx_engine.baud_cnt = x"000") then
458
                rx_engine.baud_cnt <= ctrl(ctrl_baud11_c downto ctrl_baud00_c);
459
                rx_engine.bitcnt   <= std_ulogic_vector(unsigned(rx_engine.bitcnt) - 1);
460
                rx_engine.sreg     <= rx_engine.sync(0) & rx_engine.sreg(rx_engine.sreg'left downto 1);
461
              else
462
                rx_engine.baud_cnt <= std_ulogic_vector(unsigned(rx_engine.baud_cnt) - 1);
463
              end if;
464
            end if;
465
            if (rx_engine.bitcnt = "0000") then -- all bits received?
466
              rx_engine.state <= S_RX_IDLE;
467
            end if;
468
 
469
          when others => -- undefined
470
          -- ------------------------------------------------------------
471
            rx_engine.state <= S_RX_IDLE;
472
 
473
        end case;
474
 
475
        -- overrun flag --
476
        if (rd_en = '1') and (addr = uart_id_rtx_addr_c) then -- clear when reading data register
477
          rx_engine.overr <= '1';
478
        elsif (rx_buffer.we = '1') and (rx_buffer.free = '0') then -- write to full FIFO
479
          rx_engine.overr <= '0';
480 2 zero_gravi
        end if;
481
      end if;
482
    end if;
483 65 zero_gravi
  end process uart_rx_engine;
484 2 zero_gravi
 
485 51 zero_gravi
  -- RX engine ready for a new char? --
486 65 zero_gravi
  rx_engine.rtr <= '1' when (rx_engine.state = S_RX_IDLE) and (ctrl(ctrl_en_c) = '1') else '0';
487 51 zero_gravi
 
488
 
489 65 zero_gravi
  -- RX FIFO --------------------------------------------------------------------------------
490
  -- -------------------------------------------------------------------------------------------
491
  rx_engine_fifo_inst: neorv32_fifo
492
  generic map (
493
    FIFO_DEPTH => UART_RX_FIFO, -- number of fifo entries; has to be a power of two; min 1
494
    FIFO_WIDTH => 10,           -- size of data elements in fifo
495
    FIFO_RSYNC => false,        -- async read
496
    FIFO_SAFE  => true          -- safe access
497
  )
498
  port map (
499
    -- control --
500
    clk_i   => clk_i,           -- clock, rising edge
501
    rstn_i  => '1',             -- async reset, low-active
502
    clear_i => rx_buffer.clear, -- sync reset, high-active
503
    level_o => open,
504
    half_o  => rx_buffer.half,  -- FIFO at least half-full
505
    -- write port --
506
    wdata_i => rx_buffer.wdata, -- write data
507
    we_i    => rx_buffer.we,    -- write enable
508
    free_o  => rx_buffer.free,  -- at least one entry is free when set
509
    -- read port --
510
    re_i    => rx_buffer.re,    -- read enable
511
    rdata_o => rx_buffer.rdata, -- read data
512
    avail_o => rx_buffer.avail  -- data available when set
513
  );
514
 
515
  -- control --
516
  rx_buffer.clear <= not ctrl(ctrl_en_c);
517
 
518
  -- read/write access --
519
  rx_buffer.wdata(7 downto 0) <= rx_engine.sreg(7 downto 0) when (ctrl(ctrl_pmode1_c) = '1') else rx_engine.sreg(8 downto 1); -- RX data
520
  rx_buffer.wdata(8) <= ctrl(ctrl_pmode1_c) and (xor_reduce_f(rx_engine.sreg(8 downto 0)) xor ctrl(ctrl_pmode0_c)); -- parity error flag
521
  rx_buffer.wdata(9) <= not rx_engine.sreg(9); -- frame error flag: check stop bit (error if not set)
522
  rx_buffer.we <= '1' when (rx_engine.bitcnt = "0000") and (rx_engine.state = S_RX_RECEIVE) else '0'; -- RX complete
523
  rx_buffer.re <= '1' when (rd_en = '1') and (addr = uart_id_rtx_addr_c) else '0';
524
 
525
 
526 51 zero_gravi
  -- Hardware Flow Control ------------------------------------------------------------------
527 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
528 65 zero_gravi
  tx_engine.cts <= (not uart_cts_ff(1)) when (ctrl(ctrl_cts_en_c) = '1') else '1'; -- input is low-active, internal signal is high-active
529
  uart_rts      <= (not rx_engine.rtr)  when (ctrl(ctrl_rts_en_c) = '1') else '0'; -- output is low-active
530 51 zero_gravi
 
531
  -- flow-control input/output synchronizer --
532
  flow_control_buffer: process(clk_i)
533
  begin
534
    if rising_edge(clk_i) then -- should be mapped to IOBs
535
      uart_cts_ff <= uart_cts_ff(0) & uart_cts_i;
536
      uart_rts_o  <= uart_rts;
537
    end if;
538
  end process flow_control_buffer;
539
 
540
 
541
  -- Interrupts -----------------------------------------------------------------------------
542
  -- -------------------------------------------------------------------------------------------
543 65 zero_gravi
  irq_generator: process(clk_i)
544
  begin
545
    if rising_edge(clk_i) then
546
      if (ctrl(ctrl_en_c) = '0') then -- no interrupts when disabled
547
        irq_txd_o <= '0';
548
        irq_rxd_o <= '0';
549
      else
550
        -- TX interrupt --
551
        if (UART_TX_FIFO = 1) then
552
          irq_txd_o <= tx_buffer.free; -- fire IRQ if FIFO is not full
553
        else
554
          if (ctrl(ctrl_tx_irq_c) = '1') then
555
            irq_txd_o <= not tx_buffer.half; -- fire IRQ if FIFO is less than half-full
556
          else
557
            irq_txd_o <= tx_buffer.free; -- fire IRQ if FIFO is not full
558
          end if;
559
        end if;
560 2 zero_gravi
 
561 65 zero_gravi
        -- RX interrupt --
562
        if (UART_RX_FIFO = 1) then
563
          irq_rxd_o <= rx_buffer.avail; -- fire IRQ if FIFO is not empty
564
        else
565
          if (ctrl(ctrl_rx_irq_c) = '1') then
566
            irq_rxd_o <= rx_buffer.half; -- fire IRQ if FIFO is at least half-full
567
          else
568
            irq_rxd_o <= rx_buffer.avail; -- fire IRQ is FIFO is not empty
569
          end if;
570
        end if;
571
      end if;
572
    end if;
573
  end process irq_generator;
574 2 zero_gravi
 
575 65 zero_gravi
 
576
  -- SIMULATION Transmitter -----------------------------------------------------------------
577 30 zero_gravi
  -- -------------------------------------------------------------------------------------------
578 56 zero_gravi
-- pragma translate_off
579
-- synthesis translate_off
580
-- RTL_SYNTHESIS OFF
581 30 zero_gravi
  sim_output: process(clk_i) -- for SIMULATION ONLY!
582 50 zero_gravi
    file file_uart_text_out : text open write_mode is sim_uart_text_file_c;
583
    file file_uart_data_out : text open write_mode is sim_uart_data_file_c;
584
    variable char_v         : integer;
585
    variable line_screen_v  : line; -- we need several line variables here since "writeline" seems to flush the source variable
586
    variable line_text_v    : line;
587
    variable line_data_v    : line;
588 30 zero_gravi
  begin
589
    if rising_edge(clk_i) then
590 65 zero_gravi
      if (tx_engine.state = S_TX_SIM) then -- UART simulation mode
591 30 zero_gravi
 
592 65 zero_gravi
        -- print lowest byte as ASCII char --
593
        char_v := to_integer(unsigned(tx_buffer.rdata(7 downto 0)));
594
        if (char_v >= 128) then -- out of range?
595
          char_v := 0;
596
        end if;
597 30 zero_gravi
 
598 65 zero_gravi
        if (char_v /= 10) and (char_v /= 13) then -- skip line breaks - they are issued via "writeline"
599
          if (sim_screen_output_en_c = true) then
600
            write(line_screen_v, character'val(char_v));
601 30 zero_gravi
          end if;
602 65 zero_gravi
          if (sim_text_output_en_c = true) then
603
            write(line_text_v, character'val(char_v));
604 30 zero_gravi
          end if;
605 65 zero_gravi
        end if;
606 30 zero_gravi
 
607 65 zero_gravi
        if (char_v = 10) then -- line break: write to screen and text file
608
          if (sim_screen_output_en_c = true) then
609
            writeline(output, line_screen_v);
610 30 zero_gravi
          end if;
611 65 zero_gravi
          if (sim_text_output_en_c = true) then
612
            writeline(file_uart_text_out, line_text_v);
613
          end if;
614
        end if;
615 30 zero_gravi
 
616 65 zero_gravi
        -- dump raw data as 8 hex chars to file --
617
        if (sim_data_output_en_c = true) then
618
          for x in 7 downto 0 loop
619
            write(line_data_v, to_hexchar_f(tx_buffer.rdata(3+x*4 downto 0+x*4))); -- write in hex form
620
          end loop; -- x
621
          writeline(file_uart_data_out, line_data_v);
622 30 zero_gravi
        end if;
623 65 zero_gravi
 
624 30 zero_gravi
      end if;
625
    end if;
626
  end process sim_output;
627 56 zero_gravi
-- RTL_SYNTHESIS ON
628
-- synthesis translate_on
629
-- pragma translate_on
630 30 zero_gravi
 
631 2 zero_gravi
end neorv32_uart_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.