OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_wishbone.vhd] - Blame information for rev 60

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - External Bus Interface (WISHBONE) >>                                             #
3
-- # ********************************************************************************************* #
4 41 zero_gravi
-- # The interface provides registers for all outgoing and for all incoming signals. If the host   #
5 57 zero_gravi
-- # cancels an active transfer, the Wishbone arbiter still waits some time for the bus system to  #
6 41 zero_gravi
-- # ACK/ERR the transfer before the arbiter forces termination.                                   #
7
-- #                                                                                               #
8 39 zero_gravi
-- # Even when all processor-internal memories and IO devices are disabled, the EXTERNAL address   #
9
-- # space ENDS at address 0xffff0000 (begin of internal BOOTROM address space).                   #
10 41 zero_gravi
-- #                                                                                               #
11 39 zero_gravi
-- # All bus accesses from the CPU, which do not target the internal IO region / the internal      #
12 57 zero_gravi
-- # bootloader / the internal instruction or data memories (if implemented), are delegated via    #
13 39 zero_gravi
-- # this Wishbone gateway to the external bus interface. Accessed peripherals can have a response #
14 41 zero_gravi
-- # latency of up to BUS_TIMEOUT - 2 cycles.                                                      #
15
-- #                                                                                               #
16 35 zero_gravi
-- # This interface supports classic/standard Wishbone transactions (WB_PIPELINED_MODE = false)    #
17
-- # and also pipelined transactions (WB_PIPELINED_MODE = true).                                   #
18 2 zero_gravi
-- # ********************************************************************************************* #
19
-- # BSD 3-Clause License                                                                          #
20
-- #                                                                                               #
21 44 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
22 2 zero_gravi
-- #                                                                                               #
23
-- # Redistribution and use in source and binary forms, with or without modification, are          #
24
-- # permitted provided that the following conditions are met:                                     #
25
-- #                                                                                               #
26
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
27
-- #    conditions and the following disclaimer.                                                   #
28
-- #                                                                                               #
29
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
30
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
31
-- #    provided with the distribution.                                                            #
32
-- #                                                                                               #
33
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
34
-- #    endorse or promote products derived from this software without specific prior written      #
35
-- #    permission.                                                                                #
36
-- #                                                                                               #
37
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
38
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
39
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
40
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
41
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
42
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
43
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
44
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
45
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
46
-- # ********************************************************************************************* #
47
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
48
-- #################################################################################################
49
 
50
library ieee;
51
use ieee.std_logic_1164.all;
52
use ieee.numeric_std.all;
53
 
54
library neorv32;
55
use neorv32.neorv32_package.all;
56
 
57
entity neorv32_wishbone is
58
  generic (
59 39 zero_gravi
    WB_PIPELINED_MODE : boolean := false;  -- false: classic/standard wishbone mode, true: pipelined wishbone mode
60 23 zero_gravi
    -- Internal instruction memory --
61 44 zero_gravi
    MEM_INT_IMEM_EN   : boolean := true;   -- implement processor-internal instruction memory
62 35 zero_gravi
    MEM_INT_IMEM_SIZE : natural := 8*1024; -- size of processor-internal instruction memory in bytes
63 23 zero_gravi
    -- Internal data memory --
64 44 zero_gravi
    MEM_INT_DMEM_EN   : boolean := true;   -- implement processor-internal data memory
65 41 zero_gravi
    MEM_INT_DMEM_SIZE : natural := 4*1024; -- size of processor-internal data memory in bytes
66
    -- Bus Timeout --
67
    BUS_TIMEOUT       : natural := 63      -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
68 2 zero_gravi
  );
69
  port (
70
    -- global control --
71 57 zero_gravi
    clk_i     : in  std_ulogic; -- global clock line
72
    rstn_i    : in  std_ulogic; -- global reset line, low-active
73 2 zero_gravi
    -- host access --
74 57 zero_gravi
    src_i     : in  std_ulogic; -- access type (0: data, 1:instruction)
75
    addr_i    : in  std_ulogic_vector(31 downto 0); -- address
76
    rden_i    : in  std_ulogic; -- read enable
77
    wren_i    : in  std_ulogic; -- write enable
78
    ben_i     : in  std_ulogic_vector(03 downto 0); -- byte write enable
79
    data_i    : in  std_ulogic_vector(31 downto 0); -- data in
80
    data_o    : out std_ulogic_vector(31 downto 0); -- data out
81
    lock_i    : in  std_ulogic; -- exclusive access request
82
    ack_o     : out std_ulogic; -- transfer acknowledge
83
    err_o     : out std_ulogic; -- transfer error
84
    priv_i    : in  std_ulogic_vector(01 downto 0); -- current CPU privilege level
85 2 zero_gravi
    -- wishbone interface --
86 57 zero_gravi
    wb_tag_o  : out std_ulogic_vector(02 downto 0); -- request tag
87
    wb_adr_o  : out std_ulogic_vector(31 downto 0); -- address
88
    wb_dat_i  : in  std_ulogic_vector(31 downto 0); -- read data
89
    wb_dat_o  : out std_ulogic_vector(31 downto 0); -- write data
90
    wb_we_o   : out std_ulogic; -- read/write
91
    wb_sel_o  : out std_ulogic_vector(03 downto 0); -- byte enable
92
    wb_stb_o  : out std_ulogic; -- strobe
93
    wb_cyc_o  : out std_ulogic; -- valid cycle
94
    wb_lock_o : out std_ulogic; -- exclusive access request
95
    wb_ack_i  : in  std_ulogic; -- transfer acknowledge
96
    wb_err_i  : in  std_ulogic  -- transfer error
97 2 zero_gravi
  );
98
end neorv32_wishbone;
99
 
100
architecture neorv32_wishbone_rtl of neorv32_wishbone is
101
 
102 57 zero_gravi
  -- timeout enable --
103
  constant timeout_en_c : boolean := boolean(BUS_TIMEOUT /= 0); -- timeout enabled if BUS_TIMEOUT > 0
104 35 zero_gravi
 
105 2 zero_gravi
  -- access control --
106 39 zero_gravi
  signal int_imem_acc : std_ulogic;
107
  signal int_dmem_acc : std_ulogic;
108
  signal int_boot_acc : std_ulogic;
109
  signal xbus_access  : std_ulogic;
110 2 zero_gravi
 
111 35 zero_gravi
  -- bus arbiter
112 57 zero_gravi
  type ctrl_state_t is (IDLE, BUSY, RESYNC);
113 35 zero_gravi
  type ctrl_t is record
114 39 zero_gravi
    state   : ctrl_state_t;
115
    we      : std_ulogic;
116
    rd_req  : std_ulogic;
117
    wr_req  : std_ulogic;
118
    adr     : std_ulogic_vector(31 downto 0);
119
    wdat    : std_ulogic_vector(31 downto 0);
120
    rdat    : std_ulogic_vector(31 downto 0);
121
    sel     : std_ulogic_vector(3 downto 0);
122
    ack     : std_ulogic;
123
    err     : std_ulogic;
124 57 zero_gravi
    timeout : std_ulogic_vector(index_size_f(BUS_TIMEOUT)-1 downto 0);
125 39 zero_gravi
    src     : std_ulogic;
126 57 zero_gravi
    lock    : std_ulogic;
127 39 zero_gravi
    priv    : std_ulogic_vector(1 downto 0);
128 35 zero_gravi
  end record;
129 36 zero_gravi
  signal ctrl    : ctrl_t;
130
  signal stb_int : std_ulogic;
131
  signal cyc_int : std_ulogic;
132 2 zero_gravi
 
133
begin
134
 
135 35 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
136 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
137 57 zero_gravi
  -- bus timeout --
138 59 zero_gravi
  assert not (BUS_TIMEOUT /= 0) report "NEORV32 PROCESSOR CONFIG NOTE: Using auto-timeout for external bus interface (" & integer'image(BUS_TIMEOUT) & " cycles)." severity note;
139
  assert not (BUS_TIMEOUT  = 0) report "NEORV32 PROCESSOR CONFIG NOTE: Using no auto-timeout for external bus interface (might cause permanent CPU stall)." severity note;
140
 
141 57 zero_gravi
  -- external memory interface protocol + max timeout latency notifier (warning) --
142 41 zero_gravi
  assert not (wb_pipe_mode_c = false) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing external memory interface using STANDARD Wishbone protocol." severity note;
143 59 zero_gravi
  assert not (wb_pipe_mode_c = true) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing external memory interface using PIEPLINED Wishbone protocol." severity note;
144
 
145 40 zero_gravi
  -- endianness --
146
  assert not (xbus_big_endian_c = false) report "NEORV32 PROCESSOR CONFIG NOTE: Using LITTLE-ENDIAN byte order for external memory interface." severity note;
147
  assert not (xbus_big_endian_c = true)  report "NEORV32 PROCESSOR CONFIG NOTE: Using BIG-ENDIAN byte order for external memory interface." severity note;
148 2 zero_gravi
 
149
 
150
  -- Access Control -------------------------------------------------------------------------
151
  -- -------------------------------------------------------------------------------------------
152 39 zero_gravi
  -- access to processor-internal IMEM or DMEM? --
153 44 zero_gravi
  int_imem_acc <= '1' when (addr_i(31 downto index_size_f(MEM_INT_IMEM_SIZE)) = imem_base_c(31 downto index_size_f(MEM_INT_IMEM_SIZE))) and (MEM_INT_IMEM_EN = true) else '0';
154
  int_dmem_acc <= '1' when (addr_i(31 downto index_size_f(MEM_INT_DMEM_SIZE)) = dmem_base_c(31 downto index_size_f(MEM_INT_DMEM_SIZE))) and (MEM_INT_DMEM_EN = true) else '0';
155 39 zero_gravi
  -- access to processor-internal BOOTROM or IO devices? --
156
  int_boot_acc <= '1' when (addr_i(31 downto 16) = boot_rom_base_c(31 downto 16)) else '0'; -- hacky!
157 2 zero_gravi
  -- actual external bus access? --
158 39 zero_gravi
  xbus_access <= (not int_imem_acc) and (not int_dmem_acc) and (not int_boot_acc);
159 2 zero_gravi
 
160
  -- Bus Arbiter -----------------------------------------------------------------------------
161
  -- -------------------------------------------------------------------------------------------
162
  bus_arbiter: process(rstn_i, clk_i)
163
  begin
164
    if (rstn_i = '0') then
165 39 zero_gravi
      ctrl.state   <= IDLE;
166 57 zero_gravi
      ctrl.we      <= def_rst_val_c;
167 39 zero_gravi
      ctrl.rd_req  <= '0';
168
      ctrl.wr_req  <= '0';
169 57 zero_gravi
      ctrl.adr     <= (others => def_rst_val_c);
170
      ctrl.wdat    <= (others => def_rst_val_c);
171
      ctrl.rdat    <= (others => def_rst_val_c);
172
      ctrl.sel     <= (others => def_rst_val_c);
173
      ctrl.timeout <= (others => def_rst_val_c);
174
      ctrl.ack     <= def_rst_val_c;
175
      ctrl.err     <= def_rst_val_c;
176
      ctrl.src     <= def_rst_val_c;
177
      ctrl.lock    <= def_rst_val_c;
178
      ctrl.priv    <= (others => def_rst_val_c);
179 2 zero_gravi
    elsif rising_edge(clk_i) then
180 35 zero_gravi
      -- defaults --
181 39 zero_gravi
      ctrl.rdat    <= (others => '0');
182
      ctrl.ack     <= '0';
183
      ctrl.err     <= '0';
184 57 zero_gravi
      ctrl.timeout <= std_ulogic_vector(to_unsigned(BUS_TIMEOUT, index_size_f(BUS_TIMEOUT)));
185 2 zero_gravi
 
186 35 zero_gravi
      -- state machine --
187
      case ctrl.state is
188 2 zero_gravi
 
189 35 zero_gravi
        when IDLE => -- waiting for host request
190
        -- ------------------------------------------------------------
191
          ctrl.rd_req <= '0';
192
          ctrl.wr_req <= '0';
193
          -- buffer all outgoing signals --
194 56 zero_gravi
          ctrl.we  <= wren_i or ctrl.wr_req;
195
          ctrl.adr <= addr_i;
196 60 zero_gravi
          if (xbus_big_endian_c = true) then -- big-endian
197
            ctrl.wdat <= bswap32_f(data_i);
198
            ctrl.sel  <= bit_rev_f(ben_i);
199
          else -- little-endian
200 40 zero_gravi
            ctrl.wdat <= data_i;
201
            ctrl.sel  <= ben_i;
202
          end if;
203 36 zero_gravi
          ctrl.src  <= src_i;
204 57 zero_gravi
          ctrl.lock <= lock_i;
205 36 zero_gravi
          ctrl.priv <= priv_i;
206 39 zero_gravi
          -- valid new or buffered read/write request --
207 57 zero_gravi
          if ((xbus_access and (wren_i or ctrl.wr_req or rden_i or ctrl.rd_req)) = '1') then
208 35 zero_gravi
            ctrl.state <= BUSY;
209
          end if;
210 2 zero_gravi
 
211 35 zero_gravi
        when BUSY => -- transfer in progress
212
        -- ------------------------------------------------------------
213 57 zero_gravi
          ctrl.rdat <= wb_dat_i;
214
          if (wb_err_i = '1') then -- abnormal bus termination
215 35 zero_gravi
            ctrl.err   <= '1';
216 57 zero_gravi
            ctrl.state <= IDLE;
217 35 zero_gravi
          elsif (wb_ack_i = '1') then -- normal bus termination
218
            ctrl.ack   <= '1';
219
            ctrl.state <= IDLE;
220 60 zero_gravi
          elsif (timeout_en_c = true) and (or_reduce_f(ctrl.timeout) = '0') then -- valid timeout
221 57 zero_gravi
            ctrl.err   <= '1';
222
            ctrl.state <= IDLE;
223 35 zero_gravi
          end if;
224 57 zero_gravi
          -- timeout counter --
225
          if (timeout_en_c = true) then
226
            ctrl.timeout <= std_ulogic_vector(unsigned(ctrl.timeout) - 1); -- timeout counter
227 38 zero_gravi
          end if;
228
 
229
        when RESYNC => -- make sure transfer is done!
230
        -- ------------------------------------------------------------
231 56 zero_gravi
          ctrl.wr_req <= ctrl.wr_req or wren_i; -- buffer new request
232
          ctrl.rd_req <= ctrl.rd_req or rden_i; -- buffer new request
233 38 zero_gravi
          if (wb_ack_i = '0') then
234 35 zero_gravi
            ctrl.state <= IDLE;
235
          end if;
236 2 zero_gravi
 
237 35 zero_gravi
        when others => -- undefined
238
        -- ------------------------------------------------------------
239
          ctrl.state <= IDLE;
240 2 zero_gravi
 
241 35 zero_gravi
      end case;
242
    end if;
243
  end process bus_arbiter;
244 23 zero_gravi
 
245 35 zero_gravi
  -- host access --
246 60 zero_gravi
  data_o <= ctrl.rdat when (xbus_big_endian_c = false) else bswap32_f(ctrl.rdat); -- endianness conversion
247 39 zero_gravi
  ack_o  <= ctrl.ack;
248
  err_o  <= ctrl.err;
249 2 zero_gravi
 
250 35 zero_gravi
  -- wishbone interface --
251 36 zero_gravi
  wb_tag_o(0) <= '1' when (ctrl.priv = priv_mode_m_c) else '0'; -- privileged access when in machine mode
252 39 zero_gravi
  wb_tag_o(1) <= '0'; -- 0 = secure, 1 = non-secure
253
  wb_tag_o(2) <= ctrl.src; -- 0 = data access, 1 = instruction access
254 36 zero_gravi
 
255 57 zero_gravi
  wb_lock_o <= ctrl.lock; -- 1 = exclusive access request
256
 
257 39 zero_gravi
  wb_adr_o  <= ctrl.adr;
258
  wb_dat_o  <= ctrl.wdat;
259
  wb_we_o   <= ctrl.we;
260
  wb_sel_o  <= ctrl.sel;
261
  wb_stb_o  <= stb_int when (WB_PIPELINED_MODE = true) else cyc_int;
262
  wb_cyc_o  <= cyc_int;
263 2 zero_gravi
 
264 39 zero_gravi
  stb_int <= '1' when (ctrl.state = BUSY) else '0';
265
  cyc_int <= '0' when (ctrl.state = IDLE) or (ctrl.state = RESYNC) else '1';
266 2 zero_gravi
 
267 35 zero_gravi
 
268 2 zero_gravi
end neorv32_wishbone_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.