OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_wishbone.vhd] - Blame information for rev 66

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - External Bus Interface (WISHBONE) >>                                             #
3
-- # ********************************************************************************************* #
4 61 zero_gravi
-- # All bus accesses from the CPU, which do not target the internal IO region / the internal      #
5
-- # bootloader / the internal instruction or data memories (if implemented), are delegated via    #
6
-- # this Wishbone gateway to the external bus interface. Accessed peripherals can have a response #
7
-- # latency of up to BUS_TIMEOUT - 1 cycles.                                                      #
8 41 zero_gravi
-- #                                                                                               #
9 39 zero_gravi
-- # Even when all processor-internal memories and IO devices are disabled, the EXTERNAL address   #
10
-- # space ENDS at address 0xffff0000 (begin of internal BOOTROM address space).                   #
11 2 zero_gravi
-- # ********************************************************************************************* #
12
-- # BSD 3-Clause License                                                                          #
13
-- #                                                                                               #
14 44 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
15 2 zero_gravi
-- #                                                                                               #
16
-- # Redistribution and use in source and binary forms, with or without modification, are          #
17
-- # permitted provided that the following conditions are met:                                     #
18
-- #                                                                                               #
19
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
20
-- #    conditions and the following disclaimer.                                                   #
21
-- #                                                                                               #
22
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
23
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
24
-- #    provided with the distribution.                                                            #
25
-- #                                                                                               #
26
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
27
-- #    endorse or promote products derived from this software without specific prior written      #
28
-- #    permission.                                                                                #
29
-- #                                                                                               #
30
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
31
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
32
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
33
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
34
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
35
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
36
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
37
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
38
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
39
-- # ********************************************************************************************* #
40
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
41
-- #################################################################################################
42
 
43
library ieee;
44
use ieee.std_logic_1164.all;
45
use ieee.numeric_std.all;
46
 
47
library neorv32;
48
use neorv32.neorv32_package.all;
49
 
50
entity neorv32_wishbone is
51
  generic (
52 23 zero_gravi
    -- Internal instruction memory --
53 62 zero_gravi
    MEM_INT_IMEM_EN   : boolean; -- implement processor-internal instruction memory
54
    MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes
55 23 zero_gravi
    -- Internal data memory --
56 62 zero_gravi
    MEM_INT_DMEM_EN   : boolean; -- implement processor-internal data memory
57
    MEM_INT_DMEM_SIZE : natural; -- size of processor-internal data memory in bytes
58
    -- Interface Configuration --
59
    BUS_TIMEOUT       : natural; -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
60
    PIPE_MODE         : boolean; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
61
    BIG_ENDIAN        : boolean; -- byte order: true=big-endian, false=little-endian
62
    ASYNC_RX          : boolean  -- use register buffer for RX data when false
63 2 zero_gravi
  );
64
  port (
65
    -- global control --
66 57 zero_gravi
    clk_i     : in  std_ulogic; -- global clock line
67
    rstn_i    : in  std_ulogic; -- global reset line, low-active
68 2 zero_gravi
    -- host access --
69 57 zero_gravi
    src_i     : in  std_ulogic; -- access type (0: data, 1:instruction)
70
    addr_i    : in  std_ulogic_vector(31 downto 0); -- address
71
    rden_i    : in  std_ulogic; -- read enable
72
    wren_i    : in  std_ulogic; -- write enable
73
    ben_i     : in  std_ulogic_vector(03 downto 0); -- byte write enable
74
    data_i    : in  std_ulogic_vector(31 downto 0); -- data in
75
    data_o    : out std_ulogic_vector(31 downto 0); -- data out
76
    lock_i    : in  std_ulogic; -- exclusive access request
77
    ack_o     : out std_ulogic; -- transfer acknowledge
78
    err_o     : out std_ulogic; -- transfer error
79
    priv_i    : in  std_ulogic_vector(01 downto 0); -- current CPU privilege level
80 2 zero_gravi
    -- wishbone interface --
81 57 zero_gravi
    wb_tag_o  : out std_ulogic_vector(02 downto 0); -- request tag
82
    wb_adr_o  : out std_ulogic_vector(31 downto 0); -- address
83
    wb_dat_i  : in  std_ulogic_vector(31 downto 0); -- read data
84
    wb_dat_o  : out std_ulogic_vector(31 downto 0); -- write data
85
    wb_we_o   : out std_ulogic; -- read/write
86
    wb_sel_o  : out std_ulogic_vector(03 downto 0); -- byte enable
87
    wb_stb_o  : out std_ulogic; -- strobe
88
    wb_cyc_o  : out std_ulogic; -- valid cycle
89
    wb_lock_o : out std_ulogic; -- exclusive access request
90
    wb_ack_i  : in  std_ulogic; -- transfer acknowledge
91
    wb_err_i  : in  std_ulogic  -- transfer error
92 2 zero_gravi
  );
93
end neorv32_wishbone;
94
 
95
architecture neorv32_wishbone_rtl of neorv32_wishbone is
96
 
97 57 zero_gravi
  -- timeout enable --
98
  constant timeout_en_c : boolean := boolean(BUS_TIMEOUT /= 0); -- timeout enabled if BUS_TIMEOUT > 0
99 35 zero_gravi
 
100 2 zero_gravi
  -- access control --
101 39 zero_gravi
  signal int_imem_acc : std_ulogic;
102
  signal int_dmem_acc : std_ulogic;
103
  signal int_boot_acc : std_ulogic;
104
  signal xbus_access  : std_ulogic;
105 2 zero_gravi
 
106 35 zero_gravi
  -- bus arbiter
107 61 zero_gravi
  type ctrl_state_t is (IDLE, BUSY);
108 35 zero_gravi
  type ctrl_t is record
109 39 zero_gravi
    state   : ctrl_state_t;
110
    we      : std_ulogic;
111
    adr     : std_ulogic_vector(31 downto 0);
112
    wdat    : std_ulogic_vector(31 downto 0);
113
    rdat    : std_ulogic_vector(31 downto 0);
114 61 zero_gravi
    sel     : std_ulogic_vector(03 downto 0);
115 39 zero_gravi
    ack     : std_ulogic;
116
    err     : std_ulogic;
117 57 zero_gravi
    timeout : std_ulogic_vector(index_size_f(BUS_TIMEOUT)-1 downto 0);
118 39 zero_gravi
    src     : std_ulogic;
119 57 zero_gravi
    lock    : std_ulogic;
120 61 zero_gravi
    priv    : std_ulogic_vector(01 downto 0);
121 35 zero_gravi
  end record;
122 36 zero_gravi
  signal ctrl    : ctrl_t;
123
  signal stb_int : std_ulogic;
124
  signal cyc_int : std_ulogic;
125 61 zero_gravi
  signal rdata   : std_ulogic_vector(31 downto 0);
126 2 zero_gravi
 
127 61 zero_gravi
  -- async RX mode --
128
  signal ack_gated   : std_ulogic;
129
  signal rdata_gated : std_ulogic_vector(31 downto 0);
130
 
131 2 zero_gravi
begin
132
 
133 35 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
134 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
135 61 zero_gravi
  -- protocol --
136 62 zero_gravi
  assert not (PIPE_MODE = false) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing STANDARD Wishbone protocol." severity note;
137
  assert not (PIPE_MODE = true) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing PIEPLINED Wishbone protocol." severity note;
138 61 zero_gravi
 
139 57 zero_gravi
  -- bus timeout --
140 61 zero_gravi
  assert not (BUS_TIMEOUT /= 0) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing auto-timeout (" & integer'image(BUS_TIMEOUT) & " cycles)." severity note;
141
  assert not (BUS_TIMEOUT  = 0) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing no auto-timeout (can cause permanent CPU stall!)." severity note;
142 59 zero_gravi
 
143 40 zero_gravi
  -- endianness --
144 62 zero_gravi
  assert not (BIG_ENDIAN = false) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing LITTLE-endian byte order." severity note;
145
  assert not (BIG_ENDIAN = true)  report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing BIG-endian byte." severity note;
146 2 zero_gravi
 
147 62 zero_gravi
  -- async RX --
148
  assert not (ASYNC_RX = false) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing registered RX path." severity note;
149
  assert not (ASYNC_RX = true)  report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing ASYNC RX path." severity note;
150 2 zero_gravi
 
151 61 zero_gravi
 
152 2 zero_gravi
  -- Access Control -------------------------------------------------------------------------
153
  -- -------------------------------------------------------------------------------------------
154 39 zero_gravi
  -- access to processor-internal IMEM or DMEM? --
155 44 zero_gravi
  int_imem_acc <= '1' when (addr_i(31 downto index_size_f(MEM_INT_IMEM_SIZE)) = imem_base_c(31 downto index_size_f(MEM_INT_IMEM_SIZE))) and (MEM_INT_IMEM_EN = true) else '0';
156
  int_dmem_acc <= '1' when (addr_i(31 downto index_size_f(MEM_INT_DMEM_SIZE)) = dmem_base_c(31 downto index_size_f(MEM_INT_DMEM_SIZE))) and (MEM_INT_DMEM_EN = true) else '0';
157 39 zero_gravi
  -- access to processor-internal BOOTROM or IO devices? --
158
  int_boot_acc <= '1' when (addr_i(31 downto 16) = boot_rom_base_c(31 downto 16)) else '0'; -- hacky!
159 2 zero_gravi
  -- actual external bus access? --
160 39 zero_gravi
  xbus_access <= (not int_imem_acc) and (not int_dmem_acc) and (not int_boot_acc);
161 2 zero_gravi
 
162 61 zero_gravi
 
163 2 zero_gravi
  -- Bus Arbiter -----------------------------------------------------------------------------
164
  -- -------------------------------------------------------------------------------------------
165
  bus_arbiter: process(rstn_i, clk_i)
166
  begin
167
    if (rstn_i = '0') then
168 39 zero_gravi
      ctrl.state   <= IDLE;
169 57 zero_gravi
      ctrl.we      <= def_rst_val_c;
170
      ctrl.adr     <= (others => def_rst_val_c);
171
      ctrl.wdat    <= (others => def_rst_val_c);
172
      ctrl.rdat    <= (others => def_rst_val_c);
173
      ctrl.sel     <= (others => def_rst_val_c);
174
      ctrl.timeout <= (others => def_rst_val_c);
175
      ctrl.ack     <= def_rst_val_c;
176
      ctrl.err     <= def_rst_val_c;
177
      ctrl.src     <= def_rst_val_c;
178
      ctrl.lock    <= def_rst_val_c;
179
      ctrl.priv    <= (others => def_rst_val_c);
180 2 zero_gravi
    elsif rising_edge(clk_i) then
181 35 zero_gravi
      -- defaults --
182 61 zero_gravi
      ctrl.rdat    <= (others => '0'); -- required for internal output gating
183 39 zero_gravi
      ctrl.ack     <= '0';
184
      ctrl.err     <= '0';
185 57 zero_gravi
      ctrl.timeout <= std_ulogic_vector(to_unsigned(BUS_TIMEOUT, index_size_f(BUS_TIMEOUT)));
186 2 zero_gravi
 
187 35 zero_gravi
      -- state machine --
188
      case ctrl.state is
189 2 zero_gravi
 
190 35 zero_gravi
        when IDLE => -- waiting for host request
191
        -- ------------------------------------------------------------
192
          -- buffer all outgoing signals --
193 61 zero_gravi
          ctrl.we  <= wren_i;
194 56 zero_gravi
          ctrl.adr <= addr_i;
195 62 zero_gravi
          if (BIG_ENDIAN = true) then -- big-endian
196 60 zero_gravi
            ctrl.wdat <= bswap32_f(data_i);
197
            ctrl.sel  <= bit_rev_f(ben_i);
198
          else -- little-endian
199 40 zero_gravi
            ctrl.wdat <= data_i;
200
            ctrl.sel  <= ben_i;
201
          end if;
202 36 zero_gravi
          ctrl.src  <= src_i;
203 57 zero_gravi
          ctrl.lock <= lock_i;
204 36 zero_gravi
          ctrl.priv <= priv_i;
205 39 zero_gravi
          -- valid new or buffered read/write request --
206 61 zero_gravi
          if ((xbus_access and (wren_i or rden_i)) = '1') then
207 35 zero_gravi
            ctrl.state <= BUSY;
208
          end if;
209 2 zero_gravi
 
210 35 zero_gravi
        when BUSY => -- transfer in progress
211
        -- ------------------------------------------------------------
212 57 zero_gravi
          ctrl.rdat <= wb_dat_i;
213 61 zero_gravi
          if (wb_err_i = '1') or -- abnormal bus termination
214
             ((timeout_en_c = true) and (or_reduce_f(ctrl.timeout) = '0')) then -- valid timeout
215 35 zero_gravi
            ctrl.err   <= '1';
216 57 zero_gravi
            ctrl.state <= IDLE;
217 35 zero_gravi
          elsif (wb_ack_i = '1') then -- normal bus termination
218
            ctrl.ack   <= '1';
219
            ctrl.state <= IDLE;
220
          end if;
221 57 zero_gravi
          -- timeout counter --
222
          if (timeout_en_c = true) then
223
            ctrl.timeout <= std_ulogic_vector(unsigned(ctrl.timeout) - 1); -- timeout counter
224 38 zero_gravi
          end if;
225
 
226 35 zero_gravi
        when others => -- undefined
227
        -- ------------------------------------------------------------
228
          ctrl.state <= IDLE;
229 2 zero_gravi
 
230 35 zero_gravi
      end case;
231
    end if;
232
  end process bus_arbiter;
233 23 zero_gravi
 
234 35 zero_gravi
  -- host access --
235 61 zero_gravi
  ack_gated   <= wb_ack_i when (ctrl.state = BUSY) else '0'; -- CPU ack gate for "async" RX
236
  rdata_gated <= wb_dat_i when (ctrl.state = BUSY) else (others => '0'); -- CPU read data gate for "async" RX
237 62 zero_gravi
  rdata       <= ctrl.rdat when (ASYNC_RX = false) else rdata_gated;
238 61 zero_gravi
 
239 62 zero_gravi
  data_o <= rdata when (BIG_ENDIAN = false) else bswap32_f(rdata); -- endianness conversion
240
  ack_o  <= ctrl.ack when (ASYNC_RX = false) else ack_gated;
241 39 zero_gravi
  err_o  <= ctrl.err;
242 2 zero_gravi
 
243 35 zero_gravi
  -- wishbone interface --
244 66 zero_gravi
  wb_tag_o(0) <= '0' when (ctrl.priv = priv_mode_u_c) else '1'; -- unprivileged access when in user mode
245 39 zero_gravi
  wb_tag_o(1) <= '0'; -- 0 = secure, 1 = non-secure
246
  wb_tag_o(2) <= ctrl.src; -- 0 = data access, 1 = instruction access
247 36 zero_gravi
 
248 57 zero_gravi
  wb_lock_o <= ctrl.lock; -- 1 = exclusive access request
249
 
250 61 zero_gravi
  wb_adr_o <= ctrl.adr;
251
  wb_dat_o <= ctrl.wdat;
252
  wb_we_o  <= ctrl.we;
253
  wb_sel_o <= ctrl.sel;
254 62 zero_gravi
  wb_stb_o <= stb_int when (PIPE_MODE = true) else cyc_int;
255 61 zero_gravi
  wb_cyc_o <= cyc_int;
256 2 zero_gravi
 
257 39 zero_gravi
  stb_int <= '1' when (ctrl.state = BUSY) else '0';
258 61 zero_gravi
  cyc_int <= '1' when (ctrl.state = BUSY) else '0';
259 2 zero_gravi
 
260 35 zero_gravi
 
261 2 zero_gravi
end neorv32_wishbone_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.