OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_wishbone.vhd] - Blame information for rev 70

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - External Bus Interface (WISHBONE) >>                                             #
3
-- # ********************************************************************************************* #
4 61 zero_gravi
-- # All bus accesses from the CPU, which do not target the internal IO region / the internal      #
5
-- # bootloader / the internal instruction or data memories (if implemented), are delegated via    #
6
-- # this Wishbone gateway to the external bus interface. Accessed peripherals can have a response #
7
-- # latency of up to BUS_TIMEOUT - 1 cycles.                                                      #
8 41 zero_gravi
-- #                                                                                               #
9 39 zero_gravi
-- # Even when all processor-internal memories and IO devices are disabled, the EXTERNAL address   #
10
-- # space ENDS at address 0xffff0000 (begin of internal BOOTROM address space).                   #
11 2 zero_gravi
-- # ********************************************************************************************* #
12
-- # BSD 3-Clause License                                                                          #
13
-- #                                                                                               #
14 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
15 2 zero_gravi
-- #                                                                                               #
16
-- # Redistribution and use in source and binary forms, with or without modification, are          #
17
-- # permitted provided that the following conditions are met:                                     #
18
-- #                                                                                               #
19
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
20
-- #    conditions and the following disclaimer.                                                   #
21
-- #                                                                                               #
22
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
23
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
24
-- #    provided with the distribution.                                                            #
25
-- #                                                                                               #
26
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
27
-- #    endorse or promote products derived from this software without specific prior written      #
28
-- #    permission.                                                                                #
29
-- #                                                                                               #
30
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
31
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
32
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
33
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
34
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
35
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
36
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
37
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
38
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
39
-- # ********************************************************************************************* #
40
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
41
-- #################################################################################################
42
 
43
library ieee;
44
use ieee.std_logic_1164.all;
45
use ieee.numeric_std.all;
46
 
47
library neorv32;
48
use neorv32.neorv32_package.all;
49
 
50
entity neorv32_wishbone is
51
  generic (
52 23 zero_gravi
    -- Internal instruction memory --
53 62 zero_gravi
    MEM_INT_IMEM_EN   : boolean; -- implement processor-internal instruction memory
54
    MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes
55 23 zero_gravi
    -- Internal data memory --
56 62 zero_gravi
    MEM_INT_DMEM_EN   : boolean; -- implement processor-internal data memory
57
    MEM_INT_DMEM_SIZE : natural; -- size of processor-internal data memory in bytes
58
    -- Interface Configuration --
59
    BUS_TIMEOUT       : natural; -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
60
    PIPE_MODE         : boolean; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
61
    BIG_ENDIAN        : boolean; -- byte order: true=big-endian, false=little-endian
62
    ASYNC_RX          : boolean  -- use register buffer for RX data when false
63 2 zero_gravi
  );
64
  port (
65
    -- global control --
66 70 zero_gravi
    clk_i      : in  std_ulogic; -- global clock line
67
    rstn_i     : in  std_ulogic; -- global reset line, low-active
68 2 zero_gravi
    -- host access --
69 70 zero_gravi
    src_i      : in  std_ulogic; -- access type (0: data, 1:instruction)
70
    addr_i     : in  std_ulogic_vector(31 downto 0); -- address
71
    rden_i     : in  std_ulogic; -- read enable
72
    wren_i     : in  std_ulogic; -- write enable
73
    ben_i      : in  std_ulogic_vector(03 downto 0); -- byte write enable
74
    data_i     : in  std_ulogic_vector(31 downto 0); -- data in
75
    data_o     : out std_ulogic_vector(31 downto 0); -- data out
76
    lock_i     : in  std_ulogic; -- exclusive access request
77
    ack_o      : out std_ulogic; -- transfer acknowledge
78
    err_o      : out std_ulogic; -- transfer error
79
    tmo_o      : out std_ulogic; -- transfer timeout
80
    priv_i     : in  std_ulogic_vector(01 downto 0); -- current CPU privilege level
81
    ext_o      : out std_ulogic; -- active external access
82
    -- xip configuration --
83
    xip_en_i   : in  std_ulogic; -- XIP module enabled
84
    xip_page_i : in  std_ulogic_vector(03 downto 0); -- XIP memory page
85 2 zero_gravi
    -- wishbone interface --
86 70 zero_gravi
    wb_tag_o   : out std_ulogic_vector(02 downto 0); -- request tag
87
    wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
88
    wb_dat_i   : in  std_ulogic_vector(31 downto 0); -- read data
89
    wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
90
    wb_we_o    : out std_ulogic; -- read/write
91
    wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
92
    wb_stb_o   : out std_ulogic; -- strobe
93
    wb_cyc_o   : out std_ulogic; -- valid cycle
94
    wb_lock_o  : out std_ulogic; -- exclusive access request
95
    wb_ack_i   : in  std_ulogic; -- transfer acknowledge
96
    wb_err_i   : in  std_ulogic  -- transfer error
97 2 zero_gravi
  );
98
end neorv32_wishbone;
99
 
100
architecture neorv32_wishbone_rtl of neorv32_wishbone is
101
 
102 57 zero_gravi
  -- timeout enable --
103
  constant timeout_en_c : boolean := boolean(BUS_TIMEOUT /= 0); -- timeout enabled if BUS_TIMEOUT > 0
104 35 zero_gravi
 
105 2 zero_gravi
  -- access control --
106 39 zero_gravi
  signal int_imem_acc : std_ulogic;
107
  signal int_dmem_acc : std_ulogic;
108
  signal int_boot_acc : std_ulogic;
109 70 zero_gravi
  signal xip_acc      : std_ulogic;
110 39 zero_gravi
  signal xbus_access  : std_ulogic;
111 2 zero_gravi
 
112 35 zero_gravi
  -- bus arbiter
113 61 zero_gravi
  type ctrl_state_t is (IDLE, BUSY);
114 35 zero_gravi
  type ctrl_t is record
115 68 zero_gravi
    state    : ctrl_state_t;
116
    state_ff : ctrl_state_t;
117
    we       : std_ulogic;
118
    adr      : std_ulogic_vector(31 downto 0);
119
    wdat     : std_ulogic_vector(31 downto 0);
120
    rdat     : std_ulogic_vector(31 downto 0);
121
    sel      : std_ulogic_vector(03 downto 0);
122
    ack      : std_ulogic;
123
    err      : std_ulogic;
124
    tmo      : std_ulogic;
125 69 zero_gravi
    timeout  : std_ulogic_vector(index_size_f(BUS_TIMEOUT) downto 0);
126 68 zero_gravi
    src      : std_ulogic;
127
    lock     : std_ulogic;
128
    priv     : std_ulogic_vector(01 downto 0);
129 35 zero_gravi
  end record;
130 36 zero_gravi
  signal ctrl    : ctrl_t;
131
  signal stb_int : std_ulogic;
132
  signal cyc_int : std_ulogic;
133 61 zero_gravi
  signal rdata   : std_ulogic_vector(31 downto 0);
134 2 zero_gravi
 
135 61 zero_gravi
  -- async RX mode --
136
  signal ack_gated   : std_ulogic;
137
  signal rdata_gated : std_ulogic_vector(31 downto 0);
138
 
139 2 zero_gravi
begin
140
 
141 35 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
142 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
143 61 zero_gravi
  -- protocol --
144 62 zero_gravi
  assert not (PIPE_MODE = false) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing STANDARD Wishbone protocol." severity note;
145
  assert not (PIPE_MODE = true) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing PIEPLINED Wishbone protocol." severity note;
146 61 zero_gravi
 
147 57 zero_gravi
  -- bus timeout --
148 61 zero_gravi
  assert not (BUS_TIMEOUT /= 0) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing auto-timeout (" & integer'image(BUS_TIMEOUT) & " cycles)." severity note;
149 69 zero_gravi
  assert not (BUS_TIMEOUT  = 0) report "NEORV32 PROCESSOR CONFIG WARNING: External Bus Interface - Implementing NO auto-timeout (can cause permanent CPU stall!)." severity warning;
150 59 zero_gravi
 
151 40 zero_gravi
  -- endianness --
152 62 zero_gravi
  assert not (BIG_ENDIAN = false) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing LITTLE-endian byte order." severity note;
153
  assert not (BIG_ENDIAN = true)  report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing BIG-endian byte." severity note;
154 2 zero_gravi
 
155 62 zero_gravi
  -- async RX --
156
  assert not (ASYNC_RX = false) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing registered RX path." severity note;
157
  assert not (ASYNC_RX = true)  report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing ASYNC RX path." severity note;
158 2 zero_gravi
 
159 61 zero_gravi
 
160 2 zero_gravi
  -- Access Control -------------------------------------------------------------------------
161
  -- -------------------------------------------------------------------------------------------
162 39 zero_gravi
  -- access to processor-internal IMEM or DMEM? --
163 44 zero_gravi
  int_imem_acc <= '1' when (addr_i(31 downto index_size_f(MEM_INT_IMEM_SIZE)) = imem_base_c(31 downto index_size_f(MEM_INT_IMEM_SIZE))) and (MEM_INT_IMEM_EN = true) else '0';
164
  int_dmem_acc <= '1' when (addr_i(31 downto index_size_f(MEM_INT_DMEM_SIZE)) = dmem_base_c(31 downto index_size_f(MEM_INT_DMEM_SIZE))) and (MEM_INT_DMEM_EN = true) else '0';
165 39 zero_gravi
  -- access to processor-internal BOOTROM or IO devices? --
166
  int_boot_acc <= '1' when (addr_i(31 downto 16) = boot_rom_base_c(31 downto 16)) else '0'; -- hacky!
167 70 zero_gravi
  -- XIP access? --
168
  xip_acc      <= '1' when (xip_en_i = '1') and (addr_i(31 downto 28) = xip_page_i) else '0';
169 2 zero_gravi
  -- actual external bus access? --
170 70 zero_gravi
  xbus_access  <= (not int_imem_acc) and (not int_dmem_acc) and (not int_boot_acc) and (not xip_acc);
171 2 zero_gravi
 
172 61 zero_gravi
 
173 2 zero_gravi
  -- Bus Arbiter -----------------------------------------------------------------------------
174
  -- -------------------------------------------------------------------------------------------
175
  bus_arbiter: process(rstn_i, clk_i)
176
  begin
177
    if (rstn_i = '0') then
178 68 zero_gravi
      ctrl.state    <= IDLE;
179
      ctrl.state_ff <= IDLE;
180
      ctrl.we       <= def_rst_val_c;
181
      ctrl.adr      <= (others => def_rst_val_c);
182
      ctrl.wdat     <= (others => def_rst_val_c);
183
      ctrl.rdat     <= (others => def_rst_val_c);
184
      ctrl.sel      <= (others => def_rst_val_c);
185
      ctrl.timeout  <= (others => def_rst_val_c);
186
      ctrl.ack      <= def_rst_val_c;
187
      ctrl.err      <= def_rst_val_c;
188
      ctrl.tmo      <= def_rst_val_c;
189
      ctrl.src      <= def_rst_val_c;
190
      ctrl.lock     <= def_rst_val_c;
191
      ctrl.priv     <= (others => def_rst_val_c);
192 2 zero_gravi
    elsif rising_edge(clk_i) then
193 35 zero_gravi
      -- defaults --
194 68 zero_gravi
      ctrl.state_ff <= ctrl.state;
195
      ctrl.rdat     <= (others => '0'); -- required for internal output gating
196
      ctrl.ack      <= '0';
197
      ctrl.err      <= '0';
198
      ctrl.tmo      <= '0';
199 69 zero_gravi
      ctrl.timeout  <= std_ulogic_vector(to_unsigned(BUS_TIMEOUT, index_size_f(BUS_TIMEOUT)+1));
200 2 zero_gravi
 
201 35 zero_gravi
      -- state machine --
202
      case ctrl.state is
203 2 zero_gravi
 
204 35 zero_gravi
        when IDLE => -- waiting for host request
205
        -- ------------------------------------------------------------
206
          -- buffer all outgoing signals --
207 61 zero_gravi
          ctrl.we  <= wren_i;
208 56 zero_gravi
          ctrl.adr <= addr_i;
209 62 zero_gravi
          if (BIG_ENDIAN = true) then -- big-endian
210 60 zero_gravi
            ctrl.wdat <= bswap32_f(data_i);
211
            ctrl.sel  <= bit_rev_f(ben_i);
212
          else -- little-endian
213 40 zero_gravi
            ctrl.wdat <= data_i;
214
            ctrl.sel  <= ben_i;
215
          end if;
216 36 zero_gravi
          ctrl.src  <= src_i;
217 57 zero_gravi
          ctrl.lock <= lock_i;
218 36 zero_gravi
          ctrl.priv <= priv_i;
219 39 zero_gravi
          -- valid new or buffered read/write request --
220 61 zero_gravi
          if ((xbus_access and (wren_i or rden_i)) = '1') then
221 35 zero_gravi
            ctrl.state <= BUSY;
222
          end if;
223 2 zero_gravi
 
224 35 zero_gravi
        when BUSY => -- transfer in progress
225
        -- ------------------------------------------------------------
226 57 zero_gravi
          ctrl.rdat <= wb_dat_i;
227 68 zero_gravi
          if (wb_err_i = '1') then -- abnormal bus termination
228 35 zero_gravi
            ctrl.err   <= '1';
229 57 zero_gravi
            ctrl.state <= IDLE;
230 68 zero_gravi
          elsif (timeout_en_c = true) and (or_reduce_f(ctrl.timeout) = '0') then -- enabled timeout
231
            ctrl.tmo   <= '1';
232
            ctrl.state <= IDLE;
233 35 zero_gravi
          elsif (wb_ack_i = '1') then -- normal bus termination
234
            ctrl.ack   <= '1';
235
            ctrl.state <= IDLE;
236
          end if;
237 57 zero_gravi
          -- timeout counter --
238
          if (timeout_en_c = true) then
239
            ctrl.timeout <= std_ulogic_vector(unsigned(ctrl.timeout) - 1); -- timeout counter
240 38 zero_gravi
          end if;
241
 
242 35 zero_gravi
        when others => -- undefined
243
        -- ------------------------------------------------------------
244
          ctrl.state <= IDLE;
245 2 zero_gravi
 
246 35 zero_gravi
      end case;
247
    end if;
248
  end process bus_arbiter;
249 23 zero_gravi
 
250 35 zero_gravi
  -- host access --
251 61 zero_gravi
  ack_gated   <= wb_ack_i when (ctrl.state = BUSY) else '0'; -- CPU ack gate for "async" RX
252
  rdata_gated <= wb_dat_i when (ctrl.state = BUSY) else (others => '0'); -- CPU read data gate for "async" RX
253 62 zero_gravi
  rdata       <= ctrl.rdat when (ASYNC_RX = false) else rdata_gated;
254 61 zero_gravi
 
255 68 zero_gravi
  ext_o  <= '1' when (ctrl.state = BUSY) else '0'; -- active external access
256
 
257 62 zero_gravi
  data_o <= rdata when (BIG_ENDIAN = false) else bswap32_f(rdata); -- endianness conversion
258
  ack_o  <= ctrl.ack when (ASYNC_RX = false) else ack_gated;
259 39 zero_gravi
  err_o  <= ctrl.err;
260 68 zero_gravi
  tmo_o  <= ctrl.tmo;
261 2 zero_gravi
 
262 35 zero_gravi
  -- wishbone interface --
263 66 zero_gravi
  wb_tag_o(0) <= '0' when (ctrl.priv = priv_mode_u_c) else '1'; -- unprivileged access when in user mode
264 39 zero_gravi
  wb_tag_o(1) <= '0'; -- 0 = secure, 1 = non-secure
265
  wb_tag_o(2) <= ctrl.src; -- 0 = data access, 1 = instruction access
266 36 zero_gravi
 
267 57 zero_gravi
  wb_lock_o <= ctrl.lock; -- 1 = exclusive access request
268
 
269 61 zero_gravi
  wb_adr_o <= ctrl.adr;
270
  wb_dat_o <= ctrl.wdat;
271
  wb_we_o  <= ctrl.we;
272
  wb_sel_o <= ctrl.sel;
273 62 zero_gravi
  wb_stb_o <= stb_int when (PIPE_MODE = true) else cyc_int;
274 61 zero_gravi
  wb_cyc_o <= cyc_int;
275 2 zero_gravi
 
276 68 zero_gravi
  stb_int <= '1' when (ctrl.state = BUSY) and (ctrl.state_ff /= BUSY) else '0';
277 61 zero_gravi
  cyc_int <= '1' when (ctrl.state = BUSY) else '0';
278 2 zero_gravi
 
279 35 zero_gravi
 
280 2 zero_gravi
end neorv32_wishbone_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.