OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_xirq.vhd] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 61 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - External Interrupt Controller (XIRQ) >>                                          #
3
-- # ********************************************************************************************* #
4
-- # Simple interrupt controller for platform (processor-external) interrupts. Up to 32 channels   #
5 62 zero_gravi
-- # are supported that get (optionally) prioritized into a single CPU interrupt.                  #
6 61 zero_gravi
-- #                                                                                               #
7
-- # The actual trigger configuration has to be done before synthesis using the XIRQ_TRIGGER_TYPE  #
8
-- # and XIRQ_TRIGGER_POLARITY generics. These allow to configure channel-independent low-level,   #
9
-- # high-level, falling-edge and rising-edge triggers.                                            #
10
-- # ********************************************************************************************* #
11
-- # BSD 3-Clause License                                                                          #
12
-- #                                                                                               #
13
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
14
-- #                                                                                               #
15
-- # Redistribution and use in source and binary forms, with or without modification, are          #
16
-- # permitted provided that the following conditions are met:                                     #
17
-- #                                                                                               #
18
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
19
-- #    conditions and the following disclaimer.                                                   #
20
-- #                                                                                               #
21
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
22
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
23
-- #    provided with the distribution.                                                            #
24
-- #                                                                                               #
25
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
26
-- #    endorse or promote products derived from this software without specific prior written      #
27
-- #    permission.                                                                                #
28
-- #                                                                                               #
29
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
30
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
31
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
32
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
33
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
34
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
35
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
36
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
37
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
38
-- # ********************************************************************************************* #
39
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
40
-- #################################################################################################
41
 
42
library ieee;
43
use ieee.std_logic_1164.all;
44
use ieee.numeric_std.all;
45
 
46
library neorv32;
47
use neorv32.neorv32_package.all;
48
 
49
entity neorv32_xirq is
50
  generic (
51 62 zero_gravi
    XIRQ_NUM_CH           : natural; -- number of external IRQ channels (0..32)
52
    XIRQ_TRIGGER_TYPE     : std_ulogic_vector(31 downto 0); -- trigger type: 0=level, 1=edge
53
    XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0)  -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
54 61 zero_gravi
  );
55
  port (
56
    -- host access --
57
    clk_i     : in  std_ulogic; -- global clock line
58
    addr_i    : in  std_ulogic_vector(31 downto 0); -- address
59
    rden_i    : in  std_ulogic; -- read enable
60
    wren_i    : in  std_ulogic; -- write enable
61
    data_i    : in  std_ulogic_vector(31 downto 0); -- data in
62
    data_o    : out std_ulogic_vector(31 downto 0); -- data out
63
    ack_o     : out std_ulogic; -- transfer acknowledge
64
    -- external interrupt lines --
65
    xirq_i    : in  std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
66
    -- CPU interrupt --
67
    cpu_irq_o : out std_ulogic
68
  );
69
end neorv32_xirq;
70
 
71
architecture neorv32_xirq_rtl of neorv32_xirq is
72
 
73
  -- IO space: module base address --
74
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
75
  constant lo_abb_c : natural := index_size_f(xirq_size_c); -- low address boundary bit
76
 
77
  -- access control --
78
  signal acc_en : std_ulogic; -- module access enable
79
  signal addr   : std_ulogic_vector(31 downto 0); -- access address
80
 
81
  -- control registers --
82
  signal irq_enable  : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0); -- r/w: interrupt enable
83 64 zero_gravi
  signal clr_pending : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0); -- r/w: clear pending IRQs
84
  signal irq_src     : std_ulogic_vector(4 downto 0); -- r/w: source IRQ, ACK on any write
85 61 zero_gravi
 
86
  -- interrupt trigger --
87
  signal irq_sync  : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
88
  signal irq_sync2 : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
89
  signal irq_trig  : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
90
 
91
  -- interrupt buffer --
92
  signal irq_buf  : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
93
  signal irq_fire : std_ulogic;
94
 
95
  -- interrupt source --
96 64 zero_gravi
  signal irq_src_nxt : std_ulogic_vector(4 downto 0);
97 61 zero_gravi
 
98
  -- arbiter --
99 64 zero_gravi
  signal irq_run  : std_ulogic;
100
  signal host_ack : std_ulogic;
101 61 zero_gravi
 
102
begin
103
 
104
  -- Sanity Checks --------------------------------------------------------------------------
105
  -- -------------------------------------------------------------------------------------------
106
  assert not ((XIRQ_NUM_CH < 0) or (XIRQ_NUM_CH > 32)) report "NEORV32 PROCESSOR CONFIG ERROR: Number of XIRQ inputs <XIRQ_NUM_CH> has to be 0..32." severity error;
107
 
108
 
109
  -- Access Control -------------------------------------------------------------------------
110
  -- -------------------------------------------------------------------------------------------
111
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = xirq_base_c(hi_abb_c downto lo_abb_c)) else '0';
112
  addr   <= xirq_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
113
 
114
 
115
  -- Read/Write Access ----------------------------------------------------------------------
116
  -- -------------------------------------------------------------------------------------------
117
  rw_access: process(clk_i)
118
  begin
119
    if rising_edge(clk_i) then
120
      -- bus handshake --
121
      ack_o <= acc_en and (rden_i or wren_i);
122
 
123
      -- write access --
124
      host_ack    <= '0';
125 64 zero_gravi
      clr_pending <= (others => '1');
126 61 zero_gravi
      if ((acc_en and wren_i) = '1') then
127
        -- channel-enable --
128
        if (addr = xirq_enable_addr_c) then
129
          irq_enable <= data_i(XIRQ_NUM_CH-1 downto 0);
130
        end if;
131 64 zero_gravi
        -- clear pending IRQs --
132 61 zero_gravi
        if (addr = xirq_pending_addr_c) then
133 64 zero_gravi
          clr_pending <= data_i(XIRQ_NUM_CH-1 downto 0); -- set zero to clear pending IRQ
134 61 zero_gravi
        end if;
135 64 zero_gravi
        -- acknowledge IRQ --
136
        if (addr = xirq_source_addr_c) then -- write _any_ value to ACK
137
          host_ack <= '1';
138
        end if;
139 61 zero_gravi
      end if;
140
 
141
      -- read access --
142
      data_o <= (others => '0');
143
      if ((acc_en and rden_i) = '1') then
144
        case addr is
145
          when xirq_enable_addr_c  => data_o(XIRQ_NUM_CH-1 downto 0) <= irq_enable; -- channel-enable
146
          when xirq_pending_addr_c => data_o(XIRQ_NUM_CH-1 downto 0) <= irq_buf; -- pending IRQs
147
          when xirq_source_addr_c  => data_o(4 downto 0) <= irq_src; -- source IRQ
148
          when others => NULL;
149
        end case;
150
      end if;
151
    end if;
152
  end process rw_access;
153
 
154
 
155
  -- IRQ Trigger --------------------------------------------------------------
156
  -- -----------------------------------------------------------------------------
157
  irq_trigger: process(clk_i)
158
  begin
159
    if rising_edge(clk_i) then
160
      irq_sync  <= xirq_i;
161
      irq_sync2 <= irq_sync;
162
    end if;
163
  end process irq_trigger;
164
 
165
  irq_trigger_comb: process(irq_sync, irq_sync2)
166
    variable sel_v : std_ulogic_vector(1 downto 0);
167
  begin
168
    for i in 0 to XIRQ_NUM_CH-1 loop
169
      sel_v := XIRQ_TRIGGER_TYPE(i) & XIRQ_TRIGGER_POLARITY(i);
170
      case sel_v is
171
        when "00"   => irq_trig(i) <= not irq_sync(i); -- low-level
172
        when "01"   => irq_trig(i) <= irq_sync(i); -- high-level
173
        when "10"   => irq_trig(i) <= (not irq_sync(i)) and irq_sync2(i); -- falling-edge
174
        when "11"   => irq_trig(i) <= irq_sync(i) and (not irq_sync2(i)); -- rising-edge
175
        when others => irq_trig(i) <= '0';
176
      end case;
177
    end loop;
178
  end process irq_trigger_comb;
179
 
180
 
181
  -- IRQ Buffer ---------------------------------------------------------------
182
  -- -----------------------------------------------------------------------------
183
  irq_buffer: process(clk_i)
184
  begin
185
    if rising_edge(clk_i) then
186 64 zero_gravi
      irq_buf <= (irq_buf or (irq_trig and irq_enable)) and clr_pending;
187 61 zero_gravi
    end if;
188
  end process irq_buffer;
189
 
190
  -- anyone firing? --
191
  irq_fire <= or_reduce_f(irq_buf);
192
 
193
 
194
  -- IRQ Priority Encoder -----------------------------------------------------
195
  -- -----------------------------------------------------------------------------
196
  irq_priority: process(irq_buf)
197
  begin
198
    irq_src_nxt <= (others => '0');
199 64 zero_gravi
    if (XIRQ_NUM_CH > 1) then
200
      for i in 0 to XIRQ_NUM_CH-1 loop
201
        if (irq_buf(i) = '1') then
202
          irq_src_nxt(index_size_f(XIRQ_NUM_CH)-1 downto 0) <= std_ulogic_vector(to_unsigned(i, index_size_f(XIRQ_NUM_CH)));
203
          exit;
204
        end if;
205
      end loop;
206
    end if;
207 61 zero_gravi
  end process irq_priority;
208
 
209
 
210
  -- IRQ Arbiter --------------------------------------------------------------
211
  -- -----------------------------------------------------------------------------
212
  irq_arbiter: process(clk_i)
213
  begin
214
    if rising_edge(clk_i) then
215
      if (irq_run = '0') then -- no active IRQ
216
        if (irq_fire = '1') then
217 65 zero_gravi
          irq_run <= '1';
218
          irq_src <= irq_src_nxt;
219 61 zero_gravi
        end if;
220 64 zero_gravi
      else -- active IRQ, wait for CPU to acknowledge
221 61 zero_gravi
        if (host_ack = '1') then
222
          irq_run <= '0';
223
        end if;
224
      end if;
225
    end if;
226
  end process irq_arbiter;
227
 
228 65 zero_gravi
  -- interrupt request --
229
  cpu_irq_o <= irq_run;
230 61 zero_gravi
 
231 65 zero_gravi
 
232 61 zero_gravi
end neorv32_xirq_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.