OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [processor_templates/] [neorv32_ProcessorTop_Minimal.vhd] - Blame information for rev 66

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 63 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Minimal setup without a bootloader >>                                            #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
7
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
library neorv32;
40
 
41
entity neorv32_ProcessorTop_Minimal is
42
  generic (
43
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
44
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
45
 
46
    -- RISC-V CPU Extensions --
47
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
48
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
49
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
50
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement mul/div extension?
51
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
52
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
53
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
54
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
55
 
56
    -- Extension Options --
57
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
58
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
59
    CPU_CNT_WIDTH                : natural := 34;     -- total width of CPU cycle and instret counters (0..64)
60
 
61
    -- Physical Memory Protection (PMP) --
62
    PMP_NUM_REGIONS              : natural := 0;       -- number of regions (0..64)
63
    PMP_MIN_GRANULARITY          : natural := 8*1024;  -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
64
 
65
    -- Hardware Performance Monitors (HPM) --
66
    HPM_NUM_CNTS                 : natural := 0;       -- number of implemented HPM counters (0..29)
67
    HPM_CNT_WIDTH                : natural := 40;      -- total size of HPM counters (0..64)
68
 
69
    -- Internal Instruction memory --
70
    MEM_INT_IMEM_EN              : boolean := true;    -- implement processor-internal instruction memory
71
    MEM_INT_IMEM_SIZE            : natural := 8*1024;  -- size of processor-internal instruction memory in bytes
72
 
73
    -- Internal Data memory --
74
    MEM_INT_DMEM_EN              : boolean := true;    -- implement processor-internal data memory
75
    MEM_INT_DMEM_SIZE            : natural := 64*1024; -- size of processor-internal data memory in bytes
76
 
77
    -- Internal Cache memory --
78
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
79
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
80
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
81
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
82
 
83
    -- Processor peripherals --
84
    IO_MTIME_EN                  : boolean := false;  -- implement machine system timer (MTIME)?
85
    IO_PWM_NUM_CH                : natural := 3;      -- number of PWM channels to implement (0..60); 0 = disabled
86
    IO_WDT_EN                    : boolean := false   -- implement watch dog timer (WDT)?
87
  );
88
  port (
89
    clk_i      : in  std_logic;
90
    rstn_i     : in  std_logic;
91
 
92
    -- PWM (available if IO_PWM_NUM_CH > 0) --
93
    pwm_o      : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0)
94
  );
95
end entity;
96
 
97
architecture neorv32_ProcessorTop_Minimal_rtl of neorv32_ProcessorTop_Minimal is
98
 
99
begin
100
 
101
  -- The core of the problem ----------------------------------------------------------------
102
  -- -------------------------------------------------------------------------------------------
103
  neorv32_inst: entity neorv32.neorv32_top
104
  generic map (
105
    -- General --
106
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,  -- clock frequency of clk_i in Hz
107
    INT_BOOTLOADER_EN            => false,            -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
108
    HW_THREAD_ID                 => HW_THREAD_ID,     -- hardware thread id (32-bit)
109
 
110
    -- On-Chip Debugger (OCD) --
111
    ON_CHIP_DEBUGGER_EN          => false,  -- implement on-chip debugger?
112
 
113
    -- RISC-V CPU Extensions --
114
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,         -- implement atomic extension?
115
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,         -- implement compressed extension?
116
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,         -- implement embedded RF extension?
117
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,         -- implement mul/div extension?
118
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,         -- implement user mode extension?
119
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,     -- implement 32-bit floating-point extension (using INT regs!)
120
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,     -- implement CSR system?
121 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   => true,                          -- implement base counters?
122 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei,  -- implement instruction stream sync.?
123
 
124
    -- Extension Options --
125
    FAST_MUL_EN                  => FAST_MUL_EN,    -- use DSPs for M extension's multiplier
126
    FAST_SHIFT_EN                => FAST_SHIFT_EN,  -- use barrel shifter for shift operations
127
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,  -- total width of CPU cycle and instret counters (0..64)
128
 
129
    -- Physical Memory Protection (PMP) --
130
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,       -- number of regions (0..64)
131
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY,   -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
132
 
133
    -- Hardware Performance Monitors (HPM) --
134
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,          -- number of implemented HPM counters (0..29)
135
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH,         -- total size of HPM counters (1..64)
136
 
137
    -- Internal Instruction memory --
138
    MEM_INT_IMEM_EN              => MEM_INT_IMEM_EN,       -- implement processor-internal instruction memory
139
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE,     -- size of processor-internal instruction memory in bytes
140
 
141
    -- Internal Data memory --
142
    MEM_INT_DMEM_EN              => MEM_INT_DMEM_EN,       -- implement processor-internal data memory
143
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE,     -- size of processor-internal data memory in bytes
144
 
145
    -- Internal Cache memory --
146
    ICACHE_EN                    => ICACHE_EN,             -- implement instruction cache
147
    ICACHE_NUM_BLOCKS            => ICACHE_NUM_BLOCKS,     -- i-cache: number of blocks (min 1), has to be a power of 2
148
    ICACHE_BLOCK_SIZE            => ICACHE_BLOCK_SIZE,     -- i-cache: block size in bytes (min 4), has to be a power of 2
149
    ICACHE_ASSOCIATIVITY         => ICACHE_ASSOCIATIVITY,  -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
150
 
151
    -- External memory interface --
152
    MEM_EXT_EN                   => false,       -- implement external memory bus interface?
153
    MEM_EXT_TIMEOUT              => 0,           -- cycles after a pending bus access auto-terminates (0 = disabled)
154
 
155
    -- Processor peripherals --
156
    IO_GPIO_EN                   => false,         -- implement general purpose input/output port unit (GPIO)?
157
    IO_MTIME_EN                  => IO_MTIME_EN,   -- implement machine system timer (MTIME)?
158
    IO_UART0_EN                  => false,         -- implement primary universal asynchronous receiver/transmitter (UART0)?
159
    IO_UART1_EN                  => false,         -- implement secondary universal asynchronous receiver/transmitter (UART1)?
160
    IO_SPI_EN                    => false,         -- implement serial peripheral interface (SPI)?
161
    IO_TWI_EN                    => false,         -- implement two-wire interface (TWI)?
162
    IO_PWM_NUM_CH                => IO_PWM_NUM_CH, -- number of PWM channels to implement (0..60); 0 = disabled
163
    IO_WDT_EN                    => IO_WDT_EN,     -- implement watch dog timer (WDT)?
164
    IO_TRNG_EN                   => false,         -- implement true random number generator (TRNG)?
165
    IO_CFS_EN                    => false,         -- implement custom functions subsystem (CFS)?
166
    IO_CFS_CONFIG                => x"00000000",   -- custom CFS configuration generic
167
    IO_CFS_IN_SIZE               => 32,            -- size of CFS input conduit in bits
168
    IO_CFS_OUT_SIZE              => 32,            -- size of CFS output conduit in bits
169
    IO_NEOLED_EN                 => false          -- implement NeoPixel-compatible smart LED interface (NEOLED)?
170
  )
171
  port map (
172
    -- Global control --
173
    clk_i       => clk_i,                        -- global clock, rising edge
174
    rstn_i      => rstn_i,                       -- global reset, low-active, async
175
 
176
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
177
    jtag_trst_i => '0',                          -- low-active TAP reset (optional)
178
    jtag_tck_i  => '0',                          -- serial clock
179
    jtag_tdi_i  => '0',                          -- serial data input
180
    jtag_tdo_o  => open,                         -- serial data output
181
    jtag_tms_i  => '0',                          -- mode select
182
 
183
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
184
    wb_tag_o    => open,                         -- request tag
185
    wb_adr_o    => open,                         -- address
186
    wb_dat_i    => (others => '0'),              -- read data
187
    wb_dat_o    => open,                         -- write data
188
    wb_we_o     => open,                         -- read/write
189
    wb_sel_o    => open,                         -- byte enable
190
    wb_stb_o    => open,                         -- strobe
191
    wb_cyc_o    => open,                         -- valid cycle
192
    wb_lock_o   => open,                         -- exclusive access request
193
    wb_ack_i    => '0',                          -- transfer acknowledge
194
    wb_err_i    => '0',                          -- transfer error
195
 
196
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
197
    fence_o     => open,                         -- indicates an executed FENCE operation
198
    fencei_o    => open,                         -- indicates an executed FENCEI operation
199
 
200
    -- GPIO (available if IO_GPIO_EN = true) --
201
    gpio_o      => open,                         -- parallel output
202
    gpio_i      => (others => '0'),              -- parallel input
203
 
204
    -- primary UART0 (available if IO_UART0_EN = true) --
205
    uart0_txd_o => open,                         -- UART0 send data
206
    uart0_rxd_i => '0',                          -- UART0 receive data
207
    uart0_rts_o => open,                         -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
208
    uart0_cts_i => '0',                          -- hw flow control: UART0.TX allowed to transmit, low-active, optional
209
 
210
    -- secondary UART1 (available if IO_UART1_EN = true) --
211
    uart1_txd_o => open,                         -- UART1 send data
212
    uart1_rxd_i => '0',                          -- UART1 receive data
213
    uart1_rts_o => open,                         -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
214
    uart1_cts_i => '0',                          -- hw flow control: UART1.TX allowed to transmit, low-active, optional
215
 
216
    -- SPI (available if IO_SPI_EN = true) --
217
    spi_sck_o   => open,                         -- SPI serial clock
218
    spi_sdo_o   => open,                         -- controller data out, peripheral data in
219
    spi_sdi_i   => '0',                          -- controller data in, peripheral data out
220
    spi_csn_o   => open,                         -- SPI CS
221
 
222
    -- TWI (available if IO_TWI_EN = true) --
223
    twi_sda_io  => open,                         -- twi serial data line
224
    twi_scl_io  => open,                         -- twi serial clock line
225
 
226
    -- PWM (available if IO_PWM_NUM_CH > 0) --
227
    pwm_o       => pwm_o,                        -- pwm channels
228
 
229
    -- Custom Functions Subsystem IO --
230
    cfs_in_i    => (others => '0'),              -- custom CFS inputs conduit
231
    cfs_out_o   => open,                         -- custom CFS outputs conduit
232
 
233
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
234
    neoled_o    => open,                         -- async serial data line
235
 
236
    -- System time --
237
    mtime_i     => (others => '0'),              -- current system time from ext. MTIME (if IO_MTIME_EN = false)
238
    mtime_o     => open,                         -- current system time from int. MTIME (if IO_MTIME_EN = true)
239
 
240
    -- Interrupts --
241
    mtime_irq_i => '0',                          -- machine timer interrupt, available if IO_MTIME_EN = false
242
    msw_irq_i   => '0',                          -- machine software interrupt
243
    mext_irq_i  => '0'                           -- machine external interrupt
244
  );
245
 
246
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.