OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [processor_templates/] [neorv32_ProcessorTop_MinimalBoot.vhd] - Blame information for rev 73

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 63 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Minimal setup with the bootloader enabled >>                                     #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6 73 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
7 63 zero_gravi
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
library neorv32;
40
 
41
entity neorv32_ProcessorTop_MinimalBoot is
42
  generic (
43
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
44
    INT_BOOTLOADER_EN            : boolean := true;   -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
45
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
46
 
47
    -- RISC-V CPU Extensions --
48
    CPU_EXTENSION_RISCV_A        : boolean := true;   -- implement atomic extension?
49
    CPU_EXTENSION_RISCV_C        : boolean := true;   -- implement compressed extension?
50
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
51
    CPU_EXTENSION_RISCV_M        : boolean := true;   -- implement mul/div extension?
52
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
53
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
54
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
55
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
56
 
57
    -- Extension Options --
58
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
59
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
60
    CPU_CNT_WIDTH                : natural := 34;     -- total width of CPU cycle and instret counters (0..64)
61
 
62
    -- Physical Memory Protection (PMP) --
63 73 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;       -- number of regions (0..16)
64
    PMP_MIN_GRANULARITY          : natural := 4;       -- minimal region granularity in bytes, has to be a power of 2, min 4 bytes
65 63 zero_gravi
 
66
    -- Hardware Performance Monitors (HPM) --
67
    HPM_NUM_CNTS                 : natural := 0;       -- number of implemented HPM counters (0..29)
68
    HPM_CNT_WIDTH                : natural := 40;      -- total size of HPM counters (0..64)
69
 
70
    -- Internal Instruction memory --
71
    MEM_INT_IMEM_EN              : boolean := true;    -- implement processor-internal instruction memory
72
    MEM_INT_IMEM_SIZE            : natural := 64*1024; -- size of processor-internal instruction memory in bytes
73
 
74
    -- Internal Data memory --
75
    MEM_INT_DMEM_EN              : boolean := true;    -- implement processor-internal data memory
76
    MEM_INT_DMEM_SIZE            : natural := 64*1024; -- size of processor-internal data memory in bytes
77
 
78
    -- Internal Cache memory --
79
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
80
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
81
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
82
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
83
 
84
    -- Processor peripherals --
85
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
86
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
87
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
88
    IO_PWM_NUM_CH                : natural := 3;      -- number of PWM channels to implement (0..60); 0 = disabled
89
    IO_WDT_EN                    : boolean := true    -- implement watch dog timer (WDT)?
90
  );
91
  port (
92
    clk_i      : in  std_logic;
93
    rstn_i     : in  std_logic;
94
 
95
    -- GPIO (available if IO_GPIO_EN = true) --
96
    gpio_o     : out std_ulogic_vector(3 downto 0);
97
 
98
    -- primary UART0 (available if IO_UART0_EN = true) --
99
    uart_txd_o : out std_ulogic; -- UART0 send data
100
    uart_rxd_i : in  std_ulogic := '0'; -- UART0 receive data
101
    uart_rts_o : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
102
    uart_cts_i : in  std_ulogic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
103
 
104
    -- PWM (available if IO_PWM_NUM_CH > 0) --
105
    pwm_o      : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0)
106
  );
107
end entity;
108
 
109
architecture neorv32_ProcessorTop_MinimalBoot_rtl of neorv32_ProcessorTop_MinimalBoot is
110
 
111
  -- internal IO connection --
112
  signal con_gpio_o : std_ulogic_vector(63 downto 0);
113 70 zero_gravi
  signal con_pwm_o  : std_ulogic_vector(59 downto 0);
114 63 zero_gravi
 
115
begin
116
 
117
  -- IO Connection --------------------------------------------------------------------------
118
  -- -------------------------------------------------------------------------------------------
119
 
120
  -- GPIO --
121
  gpio_o <= con_gpio_o(3 downto 0);
122
 
123 70 zero_gravi
  -- PWM --
124
  pwm_o <= con_pwm_o(IO_PWM_NUM_CH-1 downto 0);
125
 
126
 
127 63 zero_gravi
  -- The core of the problem ----------------------------------------------------------------
128
  -- -------------------------------------------------------------------------------------------
129
  neorv32_inst: entity neorv32.neorv32_top
130
  generic map (
131
    -- General --
132
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,  -- clock frequency of clk_i in Hz
133
    INT_BOOTLOADER_EN            => INT_BOOTLOADER_EN,-- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
134
    HW_THREAD_ID                 => HW_THREAD_ID,     -- hardware thread id (32-bit)
135
 
136
    -- On-Chip Debugger (OCD) --
137
    ON_CHIP_DEBUGGER_EN          => false,  -- implement on-chip debugger?
138
 
139
    -- RISC-V CPU Extensions --
140
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,         -- implement atomic extension?
141
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,         -- implement compressed extension?
142
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,         -- implement embedded RF extension?
143
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,         -- implement mul/div extension?
144
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,         -- implement user mode extension?
145
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,     -- implement 32-bit floating-point extension (using INT regs!)
146
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,     -- implement CSR system?
147 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   => true,                          -- implement base counters?
148 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei,  -- implement instruction stream sync.?
149
 
150
    -- Extension Options --
151
    FAST_MUL_EN                  => FAST_MUL_EN,    -- use DSPs for M extension's multiplier
152
    FAST_SHIFT_EN                => FAST_SHIFT_EN,  -- use barrel shifter for shift operations
153
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,  -- total width of CPU cycle and instret counters (0..64)
154
 
155
    -- Physical Memory Protection (PMP) --
156 73 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,       -- number of regions (0..16)
157 63 zero_gravi
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY,   -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
158
 
159
    -- Hardware Performance Monitors (HPM) --
160
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,          -- number of implemented HPM counters (0..29)
161
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH,         -- total size of HPM counters (1..64)
162
 
163
    -- Internal Instruction memory --
164
    MEM_INT_IMEM_EN              => MEM_INT_IMEM_EN,       -- implement processor-internal instruction memory
165
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE,     -- size of processor-internal instruction memory in bytes
166
 
167
    -- Internal Data memory --
168
    MEM_INT_DMEM_EN              => MEM_INT_DMEM_EN,       -- implement processor-internal data memory
169
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE,     -- size of processor-internal data memory in bytes
170
 
171
    -- Internal Cache memory --
172
    ICACHE_EN                    => ICACHE_EN,             -- implement instruction cache
173
    ICACHE_NUM_BLOCKS            => ICACHE_NUM_BLOCKS,     -- i-cache: number of blocks (min 1), has to be a power of 2
174
    ICACHE_BLOCK_SIZE            => ICACHE_BLOCK_SIZE,     -- i-cache: block size in bytes (min 4), has to be a power of 2
175
    ICACHE_ASSOCIATIVITY         => ICACHE_ASSOCIATIVITY,  -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
176
 
177
    -- External memory interface --
178
    MEM_EXT_EN                   => false,       -- implement external memory bus interface?
179
    MEM_EXT_TIMEOUT              => 0,           -- cycles after a pending bus access auto-terminates (0 = disabled)
180
 
181
    -- Processor peripherals --
182
    IO_GPIO_EN                   => IO_GPIO_EN,    -- implement general purpose input/output port unit (GPIO)?
183
    IO_MTIME_EN                  => IO_MTIME_EN,   -- implement machine system timer (MTIME)?
184
    IO_UART0_EN                  => IO_UART0_EN,   -- implement primary universal asynchronous receiver/transmitter (UART0)?
185
    IO_UART1_EN                  => false,         -- implement secondary universal asynchronous receiver/transmitter (UART1)?
186
    IO_SPI_EN                    => false,         -- implement serial peripheral interface (SPI)?
187
    IO_TWI_EN                    => false,         -- implement two-wire interface (TWI)?
188
    IO_PWM_NUM_CH                => IO_PWM_NUM_CH, -- number of PWM channels to implement (0..60); 0 = disabled
189
    IO_WDT_EN                    => IO_WDT_EN,     -- implement watch dog timer (WDT)?
190
    IO_TRNG_EN                   => false,         -- implement true random number generator (TRNG)?
191
    IO_CFS_EN                    => false,         -- implement custom functions subsystem (CFS)?
192
    IO_CFS_CONFIG                => x"00000000",   -- custom CFS configuration generic
193
    IO_CFS_IN_SIZE               => 32,            -- size of CFS input conduit in bits
194
    IO_CFS_OUT_SIZE              => 32,            -- size of CFS output conduit in bits
195
    IO_NEOLED_EN                 => false          -- implement NeoPixel-compatible smart LED interface (NEOLED)?
196
  )
197
  port map (
198
    -- Global control --
199
    clk_i       => clk_i,                        -- global clock, rising edge
200
    rstn_i      => rstn_i,                       -- global reset, low-active, async
201
 
202
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
203
    jtag_trst_i => '0',                          -- low-active TAP reset (optional)
204
    jtag_tck_i  => '0',                          -- serial clock
205
    jtag_tdi_i  => '0',                          -- serial data input
206
    jtag_tdo_o  => open,                         -- serial data output
207
    jtag_tms_i  => '0',                          -- mode select
208
 
209
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
210
    wb_tag_o    => open,                         -- request tag
211
    wb_adr_o    => open,                         -- address
212
    wb_dat_i    => (others => '0'),              -- read data
213
    wb_dat_o    => open,                         -- write data
214
    wb_we_o     => open,                         -- read/write
215
    wb_sel_o    => open,                         -- byte enable
216
    wb_stb_o    => open,                         -- strobe
217
    wb_cyc_o    => open,                         -- valid cycle
218
    wb_lock_o   => open,                         -- exclusive access request
219
    wb_ack_i    => '0',                          -- transfer acknowledge
220
    wb_err_i    => '0',                          -- transfer error
221
 
222
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
223
    fence_o     => open,                         -- indicates an executed FENCE operation
224
    fencei_o    => open,                         -- indicates an executed FENCEI operation
225
 
226
    -- GPIO (available if IO_GPIO_EN = true) --
227
    gpio_o      => con_gpio_o,                   -- parallel output
228
    gpio_i      => (others => '0'),              -- parallel input
229
 
230
    -- primary UART0 (available if IO_UART0_EN = true) --
231
    uart0_txd_o => uart_txd_o,                   -- UART0 send data
232
    uart0_rxd_i => uart_rxd_i,                   -- UART0 receive data
233
    uart0_rts_o => uart_rts_o,                   -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
234
    uart0_cts_i => uart_cts_i,                   -- hw flow control: UART0.TX allowed to transmit, low-active, optional
235
 
236
    -- secondary UART1 (available if IO_UART1_EN = true) --
237
    uart1_txd_o => open,                         -- UART1 send data
238
    uart1_rxd_i => '0',                          -- UART1 receive data
239
    uart1_rts_o => open,                         -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
240
    uart1_cts_i => '0',                          -- hw flow control: UART1.TX allowed to transmit, low-active, optional
241
 
242
    -- SPI (available if IO_SPI_EN = true) --
243
    spi_sck_o   => open,                         -- SPI serial clock
244
    spi_sdo_o   => open,                         -- controller data out, peripheral data in
245
    spi_sdi_i   => '0',                          -- controller data in, peripheral data out
246
    spi_csn_o   => open,                         -- SPI CS
247
 
248
    -- TWI (available if IO_TWI_EN = true) --
249
    twi_sda_io  => open,                         -- twi serial data line
250
    twi_scl_io  => open,                         -- twi serial clock line
251
 
252
    -- PWM (available if IO_PWM_NUM_CH > 0) --
253 70 zero_gravi
    pwm_o       => con_pwm_o,                    -- pwm channels
254 63 zero_gravi
 
255
    -- Custom Functions Subsystem IO --
256
    cfs_in_i    => (others => '0'),              -- custom CFS inputs conduit
257
    cfs_out_o   => open,                         -- custom CFS outputs conduit
258
 
259
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
260
    neoled_o    => open,                         -- async serial data line
261
 
262
    -- System time --
263
    mtime_i     => (others => '0'),              -- current system time from ext. MTIME (if IO_MTIME_EN = false)
264
    mtime_o     => open,                         -- current system time from int. MTIME (if IO_MTIME_EN = true)
265
 
266
    -- Interrupts --
267
    mtime_irq_i => '0',                          -- machine timer interrupt, available if IO_MTIME_EN = false
268
    msw_irq_i   => '0',                          -- machine software interrupt
269
    mext_irq_i  => '0'                           -- machine external interrupt
270
  );
271
 
272
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.