OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [system_integration/] [neorv32_ProcessorTop_stdlogic.vhd] - Blame information for rev 63

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 63 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity with Resolved Port Signals (std_logic/std_logic_vector) >>  #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
7
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
library neorv32;
40
use neorv32.neorv32_package.all;
41
 
42
entity neorv32_ProcessorTop_stdlogic is
43
  generic (
44
    -- General --
45
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
46
    INT_BOOTLOADER_EN            : boolean := true;   -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
47
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
48
    -- On-Chip Debugger (OCD) --
49
    ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
50
    -- RISC-V CPU Extensions --
51
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
52
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
53
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
54
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
55
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
56
    CPU_EXTENSION_RISCV_Zbb      : boolean := false;  -- implement basic bit-manipulation sub-extension?
57
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT reg!)
58
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
59
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
60
    -- Extension Options --
61
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
62
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
63
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
64
    -- Physical Memory Protection (PMP) --
65
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
66
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
67
    -- Hardware Performance Monitors (HPM) --
68
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
69
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
70
    -- Internal Instruction memory --
71
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
72
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
73
    -- Internal Data memory --
74
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
75
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
76
    -- Internal Cache memory --
77
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
78
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
79
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
80
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
81
    -- External memory interface --
82
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
83
    MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
84
    MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
85
    MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
86
    MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
87
    -- Stream link interface --
88
    SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
89
    SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
90
    SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
91
    SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
92
    -- External Interrupts Controller (XIRQ) --
93
    XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
94
    XIRQ_TRIGGER_TYPE            : std_logic_vector(31 downto 0) := (others => '1'); -- trigger type: 0=level, 1=edge
95
    XIRQ_TRIGGER_POLARITY        : std_logic_vector(31 downto 0) := (others => '1'); -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
96
    -- Processor peripherals --
97
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
98
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
99
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
100
    IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
101
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
102
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
103
    IO_PWM_NUM_CH                : natural := 4;      -- number of PWM channels to implement (0..60); 0 = disabled
104
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
105
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
106
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
107
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
108
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
109
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
110
    IO_NEOLED_EN                 : boolean := true    -- implement NeoPixel-compatible smart LED interface (NEOLED)?
111
  );
112
  port (
113
    -- Global control --
114
    clk_i          : in  std_logic := '0'; -- global clock, rising edge
115
    rstn_i         : in  std_logic := '0'; -- global reset, low-active, async
116
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
117
    jtag_trst_i    : in  std_logic := '0'; -- low-active TAP reset (optional)
118
    jtag_tck_i     : in  std_logic := '0'; -- serial clock
119
    jtag_tdi_i     : in  std_logic := '0'; -- serial data input
120
    jtag_tdo_o     : out std_logic;        -- serial data output
121
    jtag_tms_i     : in  std_logic := '0'; -- mode select
122
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
123
    wb_tag_o       : out std_logic_vector(02 downto 0); -- tag
124
    wb_adr_o       : out std_logic_vector(31 downto 0); -- address
125
    wb_dat_i       : in  std_logic_vector(31 downto 0) := (others => '0'); -- read data
126
    wb_dat_o       : out std_logic_vector(31 downto 0); -- write data
127
    wb_we_o        : out std_logic; -- read/write
128
    wb_sel_o       : out std_logic_vector(03 downto 0); -- byte enable
129
    wb_stb_o       : out std_logic; -- strobe
130
    wb_cyc_o       : out std_logic; -- valid cycle
131
    wb_lock_o      : out std_logic; -- exclusive access request
132
    wb_ack_i       : in  std_logic := '0'; -- transfer acknowledge
133
    wb_err_i       : in  std_logic := '0'; -- transfer error
134
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
135
    fence_o        : out std_logic; -- indicates an executed FENCE operation
136
    fencei_o       : out std_logic; -- indicates an executed FENCEI operation
137
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
138
    slink_tx_dat_o : out sdata_8x32r_t; -- output data
139
    slink_tx_val_o : out std_logic_vector(7 downto 0); -- valid output
140
    slink_tx_rdy_i : in  std_logic_vector(7 downto 0) := (others => '0'); -- ready to send
141
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
142
    slink_rx_dat_i : in  sdata_8x32r_t := (others => (others => '0')); -- input data
143
    slink_rx_val_i : in  std_logic_vector(7 downto 0) := (others => '0'); -- valid input
144
    slink_rx_rdy_o : out std_logic_vector(7 downto 0); -- ready to receive
145
    -- GPIO (available if IO_GPIO_EN = true) --
146
    gpio_o         : out std_logic_vector(63 downto 0); -- parallel output
147
    gpio_i         : in  std_logic_vector(63 downto 0) := (others => '0'); -- parallel input
148
    -- primary UART0 (available if IO_UART0_EN = true) --
149
    uart0_txd_o    : out std_logic; -- UART0 send data
150
    uart0_rxd_i    : in  std_logic := '0'; -- UART0 receive data
151
    uart0_rts_o    : out std_logic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
152
    uart0_cts_i    : in  std_logic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
153
    -- secondary UART1 (available if IO_UART1_EN = true) --
154
    uart1_txd_o    : out std_logic; -- UART1 send data
155
    uart1_rxd_i    : in  std_logic := '0'; -- UART1 receive data
156
    uart1_rts_o    : out std_logic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
157
    uart1_cts_i    : in  std_logic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
158
    -- SPI (available if IO_SPI_EN = true) --
159
    spi_sck_o      : out std_logic; -- SPI serial clock
160
    spi_sdo_o      : out std_logic; -- controller data out, peripheral data in
161
    spi_sdi_i      : in  std_logic := '0'; -- controller data in, peripheral data out
162
    spi_csn_o      : out std_logic_vector(07 downto 0); -- SPI CS
163
    -- TWI (available if IO_TWI_EN = true) --
164
    twi_sda_io     : inout std_logic; -- twi serial data line
165
    twi_scl_io     : inout std_logic; -- twi serial clock line
166
    -- PWM (available if IO_PWM_NUM_CH > 0) --
167
    pwm_o          : out std_logic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels
168
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
169
    cfs_in_i       : in  std_logic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom inputs
170
    cfs_out_o      : out std_logic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom outputs
171
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
172
    neoled_o       : out std_logic; -- async serial data line
173
    -- System time --
174
    mtime_i        : in  std_logic_vector(63 downto 0) := (others => '0'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
175
    mtime_o        : out std_logic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
176
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
177
    xirq_i         : in  std_logic_vector(XIRQ_NUM_CH-1 downto 0) := (others => '0'); -- IRQ channels
178
    -- CPU Interrupts --
179
    nm_irq_i       : in  std_logic := '0'; -- non-maskable interrupt
180
    mtime_irq_i    : in  std_logic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
181
    msw_irq_i      : in  std_logic := '0'; -- machine software interrupt
182
    mext_irq_i     : in  std_logic := '0'  -- machine external interrupt
183
  );
184
end entity;
185
 
186
architecture neorv32_ProcessorTop_stdlogic_rtl of neorv32_ProcessorTop_stdlogic is
187
 
188
  -- type conversion --
189
  constant IO_CFS_CONFIG_INT         : std_ulogic_vector(31 downto 0) := std_ulogic_vector(IO_CFS_CONFIG);
190
  constant XIRQ_TRIGGER_TYPE_INT     : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_TYPE);
191
  constant XIRQ_TRIGGER_POLARITY_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_POLARITY);
192
  --
193
  signal clk_i_int       : std_ulogic;
194
  signal rstn_i_int      : std_ulogic;
195
  --
196
  signal jtag_trst_i_int :std_ulogic;
197
  signal jtag_tck_i_int  :std_ulogic;
198
  signal jtag_tdi_i_int  :std_ulogic;
199
  signal jtag_tdo_o_int  :std_ulogic;
200
  signal jtag_tms_i_int  :std_ulogic;
201
  --
202
  signal wb_tag_o_int    : std_ulogic_vector(02 downto 0);
203
  signal wb_adr_o_int    : std_ulogic_vector(31 downto 0);
204
  signal wb_dat_i_int    : std_ulogic_vector(31 downto 0);
205
  signal wb_dat_o_int    : std_ulogic_vector(31 downto 0);
206
  signal wb_we_o_int     : std_ulogic;
207
  signal wb_sel_o_int    : std_ulogic_vector(03 downto 0);
208
  signal wb_stb_o_int    : std_ulogic;
209
  signal wb_cyc_o_int    : std_ulogic;
210
  signal wb_lock_o_int   : std_ulogic;
211
  signal wb_ack_i_int    : std_ulogic;
212
  signal wb_err_i_int    : std_ulogic;
213
  --
214
  signal fence_o_int     : std_ulogic;
215
  signal fencei_o_int    : std_ulogic;
216
  --
217
  signal slink_tx_dat_o_int : sdata_8x32_t;
218
  signal slink_tx_val_o_int : std_logic_vector(7 downto 0);
219
  signal slink_tx_rdy_i_int : std_logic_vector(7 downto 0);
220
  signal slink_rx_dat_i_int : sdata_8x32_t;
221
  signal slink_rx_val_i_int : std_logic_vector(7 downto 0);
222
  signal slink_rx_rdy_o_int : std_logic_vector(7 downto 0);
223
  --
224
  signal gpio_o_int      : std_ulogic_vector(63 downto 0);
225
  signal gpio_i_int      : std_ulogic_vector(63 downto 0);
226
  --
227
  signal uart0_txd_o_int : std_ulogic;
228
  signal uart0_rxd_i_int : std_ulogic;
229
  signal uart0_rts_o_int : std_ulogic;
230
  signal uart0_cts_i_int : std_ulogic;
231
  --
232
  signal uart1_txd_o_int : std_ulogic;
233
  signal uart1_rxd_i_int : std_ulogic;
234
  signal uart1_rts_o_int : std_ulogic;
235
  signal uart1_cts_i_int : std_ulogic;
236
  --
237
  signal spi_sck_o_int   : std_ulogic;
238
  signal spi_sdo_o_int   : std_ulogic;
239
  signal spi_sdi_i_int   : std_ulogic;
240
  signal spi_csn_o_int   : std_ulogic_vector(07 downto 0);
241
  --
242
  signal pwm_o_int       : std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0);
243
  --
244
  signal cfs_in_i_int    : std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0);
245
  signal cfs_out_o_int   : std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0);
246
  --
247
  signal neoled_o_int    : std_ulogic;
248
  --
249
  signal mtime_i_int     : std_ulogic_vector(63 downto 0);
250
  signal mtime_o_int     : std_ulogic_vector(63 downto 0);
251
  --
252
  signal xirq_i_int      : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
253
  --
254
  signal nm_irq_i_int    : std_ulogic;
255
  signal mtime_irq_i_int : std_ulogic;
256
  signal msw_irq_i_int   : std_ulogic;
257
  signal mext_irq_i_int  : std_ulogic;
258
 
259
begin
260
 
261
  -- The Core Of The Problem ----------------------------------------------------------------
262
  -- -------------------------------------------------------------------------------------------
263
  neorv32_top_inst: neorv32_top
264
  generic map (
265
    -- General --
266
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,    -- clock frequency of clk_i in Hz
267
    INT_BOOTLOADER_EN            => INT_BOOTLOADER_EN,  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
268
    HW_THREAD_ID                 => HW_THREAD_ID,       -- hardware thread id (hartid) (32-bit)
269
    -- On-Chip Debugger (OCD) --
270
    ON_CHIP_DEBUGGER_EN          => ON_CHIP_DEBUGGER_EN,          -- implement on-chip debugger
271
    -- RISC-V CPU Extensions --
272
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
273
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
274
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
275
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
276
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
277
    CPU_EXTENSION_RISCV_Zbb      => CPU_EXTENSION_RISCV_Zbb,      -- implement basic bit-manipulation sub-extension?
278
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
279
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
280
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
281
    -- Extension Options --
282
    FAST_MUL_EN                  => FAST_MUL_EN,        -- use DSPs for M extension's multiplier
283
    FAST_SHIFT_EN                => FAST_SHIFT_EN,      -- use barrel shifter for shift operations
284
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,      -- total width of CPU cycle and instret counters (0..64)
285
    -- Physical Memory Protection (PMP) --
286
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,    -- number of regions (0..64)
287
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
288
    -- Hardware Performance Monitors (HPM) --
289
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,       -- number of implemented HPM counters (0..29)
290
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH,      -- total size of HPM counters (0..64)
291
    -- Internal Instruction memory --
292
    MEM_INT_IMEM_EN              => MEM_INT_IMEM_EN,    -- implement processor-internal instruction memory
293
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
294
    -- Internal Data memory --
295
    MEM_INT_DMEM_EN              => MEM_INT_DMEM_EN,    -- implement processor-internal data memory
296
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE,  -- size of processor-internal data memory in bytes
297
    -- Internal Cache memory --
298
    ICACHE_EN                    => ICACHE_EN,          -- implement instruction cache
299
    ICACHE_NUM_BLOCKS            => ICACHE_NUM_BLOCKS,  -- i-cache: number of blocks (min 1), has to be a power of 2
300
    ICACHE_BLOCK_SIZE            => ICACHE_BLOCK_SIZE,  -- i-cache: block size in bytes (min 4), has to be a power of 2
301
    ICACHE_ASSOCIATIVITY         => ICACHE_ASSOCIATIVITY, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
302
    -- External memory interface --
303
    MEM_EXT_EN                   => MEM_EXT_EN,         -- implement external memory bus interface?
304
    MEM_EXT_TIMEOUT              => MEM_EXT_TIMEOUT,    -- cycles after a pending bus access auto-terminates (0 = disabled)
305
    MEM_EXT_PIPE_MODE            => MEM_EXT_PIPE_MODE,  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
306
    MEM_EXT_BIG_ENDIAN           => MEM_EXT_BIG_ENDIAN, -- byte order: true=big-endian, false=little-endian
307
    MEM_EXT_ASYNC_RX             => MEM_EXT_ASYNC_RX,   -- use register buffer for RX data when false
308
    -- Stream link interface --
309
    SLINK_NUM_TX                 => SLINK_NUM_TX,       -- number of TX links (0..8)
310
    SLINK_NUM_RX                 => SLINK_NUM_RX,       -- number of TX links (0..8)
311
    SLINK_TX_FIFO                => SLINK_TX_FIFO,      -- TX fifo depth, has to be a power of two
312
    SLINK_RX_FIFO                => SLINK_RX_FIFO,      -- RX fifo depth, has to be a power of two
313
    -- External Interrupts Controller (XIRQ) --
314
    XIRQ_NUM_CH                  => XIRQ_NUM_CH, -- number of external IRQ channels (0..32)
315
    XIRQ_TRIGGER_TYPE            => XIRQ_TRIGGER_TYPE_INT, -- trigger type: 0=level, 1=edge
316
    XIRQ_TRIGGER_POLARITY        => XIRQ_TRIGGER_POLARITY_INT, -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
317
    -- Processor peripherals --
318
    IO_GPIO_EN                   => IO_GPIO_EN,         -- implement general purpose input/output port unit (GPIO)?
319
    IO_MTIME_EN                  => IO_MTIME_EN,        -- implement machine system timer (MTIME)?
320
    IO_UART0_EN                  => IO_UART0_EN,        -- implement primary universal asynchronous receiver/transmitter (UART0)?
321
    IO_UART1_EN                  => IO_UART1_EN,        -- implement secondary universal asynchronous receiver/transmitter (UART1)?
322
    IO_SPI_EN                    => IO_SPI_EN,          -- implement serial peripheral interface (SPI)?
323
    IO_TWI_EN                    => IO_TWI_EN,          -- implement two-wire interface (TWI)?
324
    IO_PWM_NUM_CH                => IO_PWM_NUM_CH,      -- number of PWM channels to implement (0..60); 0 = disabled
325
    IO_WDT_EN                    => IO_WDT_EN,          -- implement watch dog timer (WDT)?
326
    IO_TRNG_EN                   => IO_TRNG_EN,         -- implement true random number generator (TRNG)?
327
    IO_CFS_EN                    => IO_CFS_EN,          -- implement custom functions subsystem (CFS)?
328
    IO_CFS_CONFIG                => IO_CFS_CONFIG_INT,  -- custom CFS configuration generic
329
    IO_CFS_IN_SIZE               => IO_CFS_IN_SIZE,     -- size of CFS input conduit in bits
330
    IO_CFS_OUT_SIZE              => IO_CFS_OUT_SIZE,    -- size of CFS output conduit in bits
331
    IO_NEOLED_EN                 => IO_NEOLED_EN        -- implement NeoPixel-compatible smart LED interface (NEOLED)?
332
  )
333
  port map (
334
    -- Global control --
335
    clk_i          => clk_i_int,       -- global clock, rising edge
336
    rstn_i         => rstn_i_int,      -- global reset, low-active, async
337
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
338
    jtag_trst_i    => jtag_trst_i_int, -- low-active TAP reset (optional)
339
    jtag_tck_i     => jtag_tck_i_int,  -- serial clock
340
    jtag_tdi_i     => jtag_tdi_i_int,  -- serial data input
341
    jtag_tdo_o     => jtag_tdo_o_int,  -- serial data output
342
    jtag_tms_i     => jtag_tms_i_int,  -- mode select
343
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
344
    wb_tag_o       => wb_tag_o_int,    -- tag
345
    wb_adr_o       => wb_adr_o_int,    -- address
346
    wb_dat_i       => wb_dat_i_int,    -- read data
347
    wb_dat_o       => wb_dat_o_int,    -- write data
348
    wb_we_o        => wb_we_o_int,     -- read/write
349
    wb_sel_o       => wb_sel_o_int,    -- byte enable
350
    wb_stb_o       => wb_stb_o_int,    -- strobe
351
    wb_cyc_o       => wb_cyc_o_int,    -- valid cycle
352
    wb_lock_o      => wb_lock_o_int,   -- exclusive access request
353
    wb_ack_i       => wb_ack_i_int,    -- transfer acknowledge
354
    wb_err_i       => wb_err_i_int,    -- transfer error
355
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
356
    fence_o        => fence_o_int,     -- indicates an executed FENCE operation
357
    fencei_o       => fencei_o_int,    -- indicates an executed FENCEI operation
358
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
359
    slink_tx_dat_o => slink_tx_dat_o_int, -- output data
360
    slink_tx_val_o => slink_tx_val_o_int, -- valid output
361
    slink_tx_rdy_i => slink_tx_rdy_i_int, -- ready to send
362
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
363
    slink_rx_dat_i => slink_rx_dat_i_int, -- input data
364
    slink_rx_val_i => slink_rx_val_i_int, -- valid input
365
    slink_rx_rdy_o => slink_rx_rdy_o_int, -- ready to receive
366
    -- GPIO (available if IO_GPIO_EN = true) --
367
    gpio_o         => gpio_o_int,      -- parallel output
368
    gpio_i         => gpio_i_int,      -- parallel input
369
    -- primary UART0 (available if IO_UART0_EN = true) --
370
    uart0_txd_o    => uart0_txd_o_int, -- UART0 send data
371
    uart0_rxd_i    => uart0_rxd_i_int, -- UART0 receive data
372
    uart0_rts_o    => uart0_rts_o_int, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
373
    uart0_cts_i    => uart0_cts_i_int, -- hw flow control: UART0.TX allowed to transmit, low-active, optional
374
    -- secondary UART1 (available if IO_UART1_EN = true) --
375
    uart1_txd_o    => uart1_txd_o_int, -- UART1 send data
376
    uart1_rxd_i    => uart1_rxd_i_int, -- UART1 receive data
377
    uart1_rts_o    => uart1_rts_o_int, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
378
    uart1_cts_i    => uart1_cts_i_int, -- hw flow control: UART1.TX allowed to transmit, low-active, optional
379
    -- SPI (available if IO_SPI_EN = true) --
380
    spi_sck_o      => spi_sck_o_int,   -- SPI serial clock
381
    spi_sdo_o      => spi_sdo_o_int,   -- controller data out, peripheral data in
382
    spi_sdi_i      => spi_sdi_i_int,   -- controller data in, peripheral data out
383
    spi_csn_o      => spi_csn_o_int,   -- SPI CS
384
    -- TWI (available if IO_TWI_EN = true) --
385
    twi_sda_io     => twi_sda_io,      -- twi serial data line
386
    twi_scl_io     => twi_scl_io,      -- twi serial clock line
387
    -- PWM (available if IO_PWM_NUM_CH > 0) --
388
    pwm_o          => pwm_o_int,       -- pwm channels
389
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
390
    cfs_in_i       => cfs_in_i_int,    -- custom inputs
391
    cfs_out_o      => cfs_out_o_int,   -- custom outputs
392
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
393
    neoled_o       => neoled_o_int,    -- async serial data line
394
    -- System time --
395
    mtime_i        => mtime_i_int,     -- current system time from ext. MTIME (if IO_MTIME_EN = false)
396
    mtime_o        => mtime_o_int,     -- current system time from int. MTIME (if IO_MTIME_EN = true)
397
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
398
    xirq_i         => xirq_i_int,      -- IRQ channels
399
    -- CPU Interrupts --
400
    nm_irq_i       => nm_irq_i_int,    -- non-maskable interrupt
401
    mtime_irq_i    => mtime_irq_i_int, -- machine timer interrupt, available if IO_MTIME_EN = false
402
    msw_irq_i      => msw_irq_i_int,   -- machine software interrupt
403
    mext_irq_i     => mext_irq_i_int   -- machine external interrupt
404
  );
405
 
406
  -- type conversion --
407
  clk_i_int       <= std_ulogic(clk_i);
408
  rstn_i_int      <= std_ulogic(rstn_i);
409
 
410
  jtag_trst_i_int <= std_ulogic(jtag_trst_i);
411
  jtag_tck_i_int  <= std_ulogic(jtag_tck_i);
412
  jtag_tdi_i_int  <= std_ulogic(jtag_tdi_i);
413
  jtag_tdo_o      <= std_logic(jtag_tdo_o_int);
414
  jtag_tms_i_int  <= std_ulogic(jtag_tms_i);
415
 
416
  wb_tag_o        <= std_logic_vector(wb_tag_o_int);
417
  wb_adr_o        <= std_logic_vector(wb_adr_o_int);
418
  wb_dat_i_int    <= std_ulogic_vector(wb_dat_i);
419
  wb_dat_o        <= std_logic_vector(wb_dat_o_int);
420
  wb_we_o         <= std_logic(wb_we_o_int);
421
  wb_sel_o        <= std_logic_vector(wb_sel_o_int);
422
  wb_stb_o        <= std_logic(wb_stb_o_int);
423
  wb_cyc_o        <= std_logic(wb_cyc_o_int);
424
  wb_lock_o       <= std_logic(wb_lock_o_int);
425
  wb_ack_i_int    <= std_ulogic(wb_ack_i);
426
  wb_err_i_int    <= std_ulogic(wb_err_i);
427
 
428
  fence_o         <= std_logic(fence_o_int);
429
  fencei_o        <= std_logic(fencei_o_int);
430
 
431
  slink_tx_val_o     <= std_logic_vector(slink_tx_val_o_int);
432
  slink_tx_rdy_i_int <= std_ulogic_vector(slink_tx_rdy_i);
433
  slink_rx_val_i_int <= std_ulogic_vector(slink_rx_val_i);
434
  slink_rx_rdy_o     <= std_logic_vector(slink_rx_rdy_o_int);
435
 
436
  slink_conv:
437
  for i in 0 to 7 generate
438
    slink_tx_dat_o(i)     <= std_logic_vector(slink_tx_dat_o_int(i));
439
    slink_rx_dat_i_int(i) <= std_ulogic_vector(slink_rx_dat_i(i));
440
  end generate;
441
 
442
  gpio_o          <= std_logic_vector(gpio_o_int);
443
  gpio_i_int      <= std_ulogic_vector(gpio_i);
444
 
445
  uart0_txd_o     <= std_logic(uart0_txd_o_int);
446
  uart0_rxd_i_int <= std_ulogic(uart0_rxd_i);
447
  uart1_txd_o     <= std_logic(uart1_txd_o_int);
448
  uart1_rxd_i_int <= std_ulogic(uart1_rxd_i);
449
 
450
  spi_sck_o       <= std_logic(spi_sck_o_int);
451
  spi_sdo_o       <= std_logic(spi_sdo_o_int);
452
  spi_sdi_i_int   <= std_ulogic(spi_sdi_i);
453
  spi_csn_o       <= std_logic_vector(spi_csn_o_int);
454
 
455
  pwm_o           <= std_logic_vector(pwm_o_int);
456
 
457
  cfs_in_i_int    <= std_ulogic_vector(cfs_in_i);
458
  cfs_out_o       <= std_logic_vector(cfs_out_o_int);
459
 
460
  neoled_o        <= std_logic(neoled_o_int);
461
 
462
  mtime_i_int     <= std_ulogic_vector(mtime_i);
463
  mtime_o         <= std_logic_vector(mtime_o_int);
464
 
465
  xirq_i_int      <= std_ulogic_vector(xirq_i);
466
 
467
  msw_irq_i_int   <= std_ulogic(msw_irq_i);
468
  mext_irq_i_int  <= std_ulogic(mext_irq_i);
469
 
470
 
471
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.