OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [system_integration/] [neorv32_ProcessorTop_stdlogic.vhd] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 63 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity with Resolved Port Signals (std_logic/std_logic_vector) >>  #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
7
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
library neorv32;
40
use neorv32.neorv32_package.all;
41
 
42
entity neorv32_ProcessorTop_stdlogic is
43
  generic (
44
    -- General --
45
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
46
    INT_BOOTLOADER_EN            : boolean := true;   -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
47
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
48
    -- On-Chip Debugger (OCD) --
49
    ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
50
    -- RISC-V CPU Extensions --
51
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
52
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
53
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
54
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
55
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
56
    CPU_EXTENSION_RISCV_Zbb      : boolean := false;  -- implement basic bit-manipulation sub-extension?
57
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT reg!)
58
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
59
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
60
    -- Extension Options --
61
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
62
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
63
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
64
    -- Physical Memory Protection (PMP) --
65
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
66
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
67
    -- Hardware Performance Monitors (HPM) --
68
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
69
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
70
    -- Internal Instruction memory --
71
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
72
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
73
    -- Internal Data memory --
74
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
75
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
76
    -- Internal Cache memory --
77
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
78
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
79
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
80
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
81
    -- External memory interface --
82
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
83
    MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
84
    MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
85
    MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
86
    MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
87
    -- Stream link interface --
88
    SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
89
    SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
90
    SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
91
    SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
92
    -- External Interrupts Controller (XIRQ) --
93
    XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
94
    XIRQ_TRIGGER_TYPE            : std_logic_vector(31 downto 0) := (others => '1'); -- trigger type: 0=level, 1=edge
95
    XIRQ_TRIGGER_POLARITY        : std_logic_vector(31 downto 0) := (others => '1'); -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
96
    -- Processor peripherals --
97
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
98
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
99
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
100 65 zero_gravi
    IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
101
    IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
102 63 zero_gravi
    IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
103 65 zero_gravi
    IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
104
    IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
105 63 zero_gravi
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
106
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
107
    IO_PWM_NUM_CH                : natural := 4;      -- number of PWM channels to implement (0..60); 0 = disabled
108
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
109
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
110
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
111
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
112
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
113
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
114
    IO_NEOLED_EN                 : boolean := true    -- implement NeoPixel-compatible smart LED interface (NEOLED)?
115
  );
116
  port (
117
    -- Global control --
118
    clk_i          : in  std_logic := '0'; -- global clock, rising edge
119
    rstn_i         : in  std_logic := '0'; -- global reset, low-active, async
120
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
121
    jtag_trst_i    : in  std_logic := '0'; -- low-active TAP reset (optional)
122
    jtag_tck_i     : in  std_logic := '0'; -- serial clock
123
    jtag_tdi_i     : in  std_logic := '0'; -- serial data input
124
    jtag_tdo_o     : out std_logic;        -- serial data output
125
    jtag_tms_i     : in  std_logic := '0'; -- mode select
126
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
127
    wb_tag_o       : out std_logic_vector(02 downto 0); -- tag
128
    wb_adr_o       : out std_logic_vector(31 downto 0); -- address
129
    wb_dat_i       : in  std_logic_vector(31 downto 0) := (others => '0'); -- read data
130
    wb_dat_o       : out std_logic_vector(31 downto 0); -- write data
131
    wb_we_o        : out std_logic; -- read/write
132
    wb_sel_o       : out std_logic_vector(03 downto 0); -- byte enable
133
    wb_stb_o       : out std_logic; -- strobe
134
    wb_cyc_o       : out std_logic; -- valid cycle
135
    wb_lock_o      : out std_logic; -- exclusive access request
136
    wb_ack_i       : in  std_logic := '0'; -- transfer acknowledge
137
    wb_err_i       : in  std_logic := '0'; -- transfer error
138
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
139
    fence_o        : out std_logic; -- indicates an executed FENCE operation
140
    fencei_o       : out std_logic; -- indicates an executed FENCEI operation
141
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
142
    slink_tx_dat_o : out sdata_8x32r_t; -- output data
143
    slink_tx_val_o : out std_logic_vector(7 downto 0); -- valid output
144
    slink_tx_rdy_i : in  std_logic_vector(7 downto 0) := (others => '0'); -- ready to send
145
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
146
    slink_rx_dat_i : in  sdata_8x32r_t := (others => (others => '0')); -- input data
147
    slink_rx_val_i : in  std_logic_vector(7 downto 0) := (others => '0'); -- valid input
148
    slink_rx_rdy_o : out std_logic_vector(7 downto 0); -- ready to receive
149
    -- GPIO (available if IO_GPIO_EN = true) --
150
    gpio_o         : out std_logic_vector(63 downto 0); -- parallel output
151
    gpio_i         : in  std_logic_vector(63 downto 0) := (others => '0'); -- parallel input
152
    -- primary UART0 (available if IO_UART0_EN = true) --
153
    uart0_txd_o    : out std_logic; -- UART0 send data
154
    uart0_rxd_i    : in  std_logic := '0'; -- UART0 receive data
155
    uart0_rts_o    : out std_logic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
156
    uart0_cts_i    : in  std_logic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
157
    -- secondary UART1 (available if IO_UART1_EN = true) --
158
    uart1_txd_o    : out std_logic; -- UART1 send data
159
    uart1_rxd_i    : in  std_logic := '0'; -- UART1 receive data
160
    uart1_rts_o    : out std_logic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
161
    uart1_cts_i    : in  std_logic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
162
    -- SPI (available if IO_SPI_EN = true) --
163
    spi_sck_o      : out std_logic; -- SPI serial clock
164
    spi_sdo_o      : out std_logic; -- controller data out, peripheral data in
165
    spi_sdi_i      : in  std_logic := '0'; -- controller data in, peripheral data out
166
    spi_csn_o      : out std_logic_vector(07 downto 0); -- SPI CS
167
    -- TWI (available if IO_TWI_EN = true) --
168
    twi_sda_io     : inout std_logic; -- twi serial data line
169
    twi_scl_io     : inout std_logic; -- twi serial clock line
170
    -- PWM (available if IO_PWM_NUM_CH > 0) --
171
    pwm_o          : out std_logic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels
172
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
173
    cfs_in_i       : in  std_logic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom inputs
174
    cfs_out_o      : out std_logic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom outputs
175
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
176
    neoled_o       : out std_logic; -- async serial data line
177
    -- System time --
178
    mtime_i        : in  std_logic_vector(63 downto 0) := (others => '0'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
179
    mtime_o        : out std_logic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
180
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
181
    xirq_i         : in  std_logic_vector(XIRQ_NUM_CH-1 downto 0) := (others => '0'); -- IRQ channels
182
    -- CPU Interrupts --
183
    mtime_irq_i    : in  std_logic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
184
    msw_irq_i      : in  std_logic := '0'; -- machine software interrupt
185
    mext_irq_i     : in  std_logic := '0'  -- machine external interrupt
186
  );
187
end entity;
188
 
189
architecture neorv32_ProcessorTop_stdlogic_rtl of neorv32_ProcessorTop_stdlogic is
190
 
191
  -- type conversion --
192
  constant IO_CFS_CONFIG_INT         : std_ulogic_vector(31 downto 0) := std_ulogic_vector(IO_CFS_CONFIG);
193
  constant XIRQ_TRIGGER_TYPE_INT     : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_TYPE);
194
  constant XIRQ_TRIGGER_POLARITY_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_POLARITY);
195
  --
196
  signal clk_i_int       : std_ulogic;
197
  signal rstn_i_int      : std_ulogic;
198
  --
199
  signal jtag_trst_i_int :std_ulogic;
200
  signal jtag_tck_i_int  :std_ulogic;
201
  signal jtag_tdi_i_int  :std_ulogic;
202
  signal jtag_tdo_o_int  :std_ulogic;
203
  signal jtag_tms_i_int  :std_ulogic;
204
  --
205
  signal wb_tag_o_int    : std_ulogic_vector(02 downto 0);
206
  signal wb_adr_o_int    : std_ulogic_vector(31 downto 0);
207
  signal wb_dat_i_int    : std_ulogic_vector(31 downto 0);
208
  signal wb_dat_o_int    : std_ulogic_vector(31 downto 0);
209
  signal wb_we_o_int     : std_ulogic;
210
  signal wb_sel_o_int    : std_ulogic_vector(03 downto 0);
211
  signal wb_stb_o_int    : std_ulogic;
212
  signal wb_cyc_o_int    : std_ulogic;
213
  signal wb_lock_o_int   : std_ulogic;
214
  signal wb_ack_i_int    : std_ulogic;
215
  signal wb_err_i_int    : std_ulogic;
216
  --
217
  signal fence_o_int     : std_ulogic;
218
  signal fencei_o_int    : std_ulogic;
219
  --
220
  signal slink_tx_dat_o_int : sdata_8x32_t;
221
  signal slink_tx_val_o_int : std_logic_vector(7 downto 0);
222
  signal slink_tx_rdy_i_int : std_logic_vector(7 downto 0);
223
  signal slink_rx_dat_i_int : sdata_8x32_t;
224
  signal slink_rx_val_i_int : std_logic_vector(7 downto 0);
225
  signal slink_rx_rdy_o_int : std_logic_vector(7 downto 0);
226
  --
227
  signal gpio_o_int      : std_ulogic_vector(63 downto 0);
228
  signal gpio_i_int      : std_ulogic_vector(63 downto 0);
229
  --
230
  signal uart0_txd_o_int : std_ulogic;
231
  signal uart0_rxd_i_int : std_ulogic;
232
  signal uart0_rts_o_int : std_ulogic;
233
  signal uart0_cts_i_int : std_ulogic;
234
  --
235
  signal uart1_txd_o_int : std_ulogic;
236
  signal uart1_rxd_i_int : std_ulogic;
237
  signal uart1_rts_o_int : std_ulogic;
238
  signal uart1_cts_i_int : std_ulogic;
239
  --
240
  signal spi_sck_o_int   : std_ulogic;
241
  signal spi_sdo_o_int   : std_ulogic;
242
  signal spi_sdi_i_int   : std_ulogic;
243
  signal spi_csn_o_int   : std_ulogic_vector(07 downto 0);
244
  --
245
  signal pwm_o_int       : std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0);
246
  --
247
  signal cfs_in_i_int    : std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0);
248
  signal cfs_out_o_int   : std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0);
249
  --
250
  signal neoled_o_int    : std_ulogic;
251
  --
252
  signal mtime_i_int     : std_ulogic_vector(63 downto 0);
253
  signal mtime_o_int     : std_ulogic_vector(63 downto 0);
254
  --
255
  signal xirq_i_int      : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
256
  --
257
  signal mtime_irq_i_int : std_ulogic;
258
  signal msw_irq_i_int   : std_ulogic;
259
  signal mext_irq_i_int  : std_ulogic;
260
 
261
begin
262
 
263
  -- The Core Of The Problem ----------------------------------------------------------------
264
  -- -------------------------------------------------------------------------------------------
265
  neorv32_top_inst: neorv32_top
266
  generic map (
267
    -- General --
268
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,    -- clock frequency of clk_i in Hz
269
    INT_BOOTLOADER_EN            => INT_BOOTLOADER_EN,  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
270
    HW_THREAD_ID                 => HW_THREAD_ID,       -- hardware thread id (hartid) (32-bit)
271
    -- On-Chip Debugger (OCD) --
272
    ON_CHIP_DEBUGGER_EN          => ON_CHIP_DEBUGGER_EN,          -- implement on-chip debugger
273
    -- RISC-V CPU Extensions --
274
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
275
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
276
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
277
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
278
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
279
    CPU_EXTENSION_RISCV_Zbb      => CPU_EXTENSION_RISCV_Zbb,      -- implement basic bit-manipulation sub-extension?
280
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
281
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
282
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
283
    -- Extension Options --
284
    FAST_MUL_EN                  => FAST_MUL_EN,        -- use DSPs for M extension's multiplier
285
    FAST_SHIFT_EN                => FAST_SHIFT_EN,      -- use barrel shifter for shift operations
286
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,      -- total width of CPU cycle and instret counters (0..64)
287
    -- Physical Memory Protection (PMP) --
288
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,    -- number of regions (0..64)
289
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
290
    -- Hardware Performance Monitors (HPM) --
291
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,       -- number of implemented HPM counters (0..29)
292
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH,      -- total size of HPM counters (0..64)
293
    -- Internal Instruction memory --
294
    MEM_INT_IMEM_EN              => MEM_INT_IMEM_EN,    -- implement processor-internal instruction memory
295
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
296
    -- Internal Data memory --
297
    MEM_INT_DMEM_EN              => MEM_INT_DMEM_EN,    -- implement processor-internal data memory
298
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE,  -- size of processor-internal data memory in bytes
299
    -- Internal Cache memory --
300
    ICACHE_EN                    => ICACHE_EN,          -- implement instruction cache
301
    ICACHE_NUM_BLOCKS            => ICACHE_NUM_BLOCKS,  -- i-cache: number of blocks (min 1), has to be a power of 2
302
    ICACHE_BLOCK_SIZE            => ICACHE_BLOCK_SIZE,  -- i-cache: block size in bytes (min 4), has to be a power of 2
303
    ICACHE_ASSOCIATIVITY         => ICACHE_ASSOCIATIVITY, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
304
    -- External memory interface --
305
    MEM_EXT_EN                   => MEM_EXT_EN,         -- implement external memory bus interface?
306
    MEM_EXT_TIMEOUT              => MEM_EXT_TIMEOUT,    -- cycles after a pending bus access auto-terminates (0 = disabled)
307
    MEM_EXT_PIPE_MODE            => MEM_EXT_PIPE_MODE,  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
308
    MEM_EXT_BIG_ENDIAN           => MEM_EXT_BIG_ENDIAN, -- byte order: true=big-endian, false=little-endian
309
    MEM_EXT_ASYNC_RX             => MEM_EXT_ASYNC_RX,   -- use register buffer for RX data when false
310
    -- Stream link interface --
311
    SLINK_NUM_TX                 => SLINK_NUM_TX,       -- number of TX links (0..8)
312
    SLINK_NUM_RX                 => SLINK_NUM_RX,       -- number of TX links (0..8)
313
    SLINK_TX_FIFO                => SLINK_TX_FIFO,      -- TX fifo depth, has to be a power of two
314
    SLINK_RX_FIFO                => SLINK_RX_FIFO,      -- RX fifo depth, has to be a power of two
315
    -- External Interrupts Controller (XIRQ) --
316
    XIRQ_NUM_CH                  => XIRQ_NUM_CH, -- number of external IRQ channels (0..32)
317
    XIRQ_TRIGGER_TYPE            => XIRQ_TRIGGER_TYPE_INT, -- trigger type: 0=level, 1=edge
318
    XIRQ_TRIGGER_POLARITY        => XIRQ_TRIGGER_POLARITY_INT, -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
319
    -- Processor peripherals --
320
    IO_GPIO_EN                   => IO_GPIO_EN,         -- implement general purpose input/output port unit (GPIO)?
321
    IO_MTIME_EN                  => IO_MTIME_EN,        -- implement machine system timer (MTIME)?
322
    IO_UART0_EN                  => IO_UART0_EN,        -- implement primary universal asynchronous receiver/transmitter (UART0)?
323 65 zero_gravi
    IO_UART0_RX_FIFO             => IO_UART0_RX_FIFO,   -- RX fifo depth, has to be a power of two, min 1
324
    IO_UART0_TX_FIFO             => IO_UART0_TX_FIFO,   -- TX fifo depth, has to be a power of two, min 1
325 63 zero_gravi
    IO_UART1_EN                  => IO_UART1_EN,        -- implement secondary universal asynchronous receiver/transmitter (UART1)?
326 65 zero_gravi
    IO_UART1_RX_FIFO             => IO_UART1_RX_FIFO,   -- RX fifo depth, has to be a power of two, min 1
327
    IO_UART1_TX_FIFO             => IO_UART1_TX_FIFO,   -- TX fifo depth, has to be a power of two, min 1
328 63 zero_gravi
    IO_SPI_EN                    => IO_SPI_EN,          -- implement serial peripheral interface (SPI)?
329
    IO_TWI_EN                    => IO_TWI_EN,          -- implement two-wire interface (TWI)?
330
    IO_PWM_NUM_CH                => IO_PWM_NUM_CH,      -- number of PWM channels to implement (0..60); 0 = disabled
331
    IO_WDT_EN                    => IO_WDT_EN,          -- implement watch dog timer (WDT)?
332
    IO_TRNG_EN                   => IO_TRNG_EN,         -- implement true random number generator (TRNG)?
333
    IO_CFS_EN                    => IO_CFS_EN,          -- implement custom functions subsystem (CFS)?
334
    IO_CFS_CONFIG                => IO_CFS_CONFIG_INT,  -- custom CFS configuration generic
335
    IO_CFS_IN_SIZE               => IO_CFS_IN_SIZE,     -- size of CFS input conduit in bits
336
    IO_CFS_OUT_SIZE              => IO_CFS_OUT_SIZE,    -- size of CFS output conduit in bits
337
    IO_NEOLED_EN                 => IO_NEOLED_EN        -- implement NeoPixel-compatible smart LED interface (NEOLED)?
338
  )
339
  port map (
340
    -- Global control --
341
    clk_i          => clk_i_int,       -- global clock, rising edge
342
    rstn_i         => rstn_i_int,      -- global reset, low-active, async
343
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
344
    jtag_trst_i    => jtag_trst_i_int, -- low-active TAP reset (optional)
345
    jtag_tck_i     => jtag_tck_i_int,  -- serial clock
346
    jtag_tdi_i     => jtag_tdi_i_int,  -- serial data input
347
    jtag_tdo_o     => jtag_tdo_o_int,  -- serial data output
348
    jtag_tms_i     => jtag_tms_i_int,  -- mode select
349
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
350
    wb_tag_o       => wb_tag_o_int,    -- tag
351
    wb_adr_o       => wb_adr_o_int,    -- address
352
    wb_dat_i       => wb_dat_i_int,    -- read data
353
    wb_dat_o       => wb_dat_o_int,    -- write data
354
    wb_we_o        => wb_we_o_int,     -- read/write
355
    wb_sel_o       => wb_sel_o_int,    -- byte enable
356
    wb_stb_o       => wb_stb_o_int,    -- strobe
357
    wb_cyc_o       => wb_cyc_o_int,    -- valid cycle
358
    wb_lock_o      => wb_lock_o_int,   -- exclusive access request
359
    wb_ack_i       => wb_ack_i_int,    -- transfer acknowledge
360
    wb_err_i       => wb_err_i_int,    -- transfer error
361
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
362
    fence_o        => fence_o_int,     -- indicates an executed FENCE operation
363
    fencei_o       => fencei_o_int,    -- indicates an executed FENCEI operation
364
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
365
    slink_tx_dat_o => slink_tx_dat_o_int, -- output data
366
    slink_tx_val_o => slink_tx_val_o_int, -- valid output
367
    slink_tx_rdy_i => slink_tx_rdy_i_int, -- ready to send
368
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
369
    slink_rx_dat_i => slink_rx_dat_i_int, -- input data
370
    slink_rx_val_i => slink_rx_val_i_int, -- valid input
371
    slink_rx_rdy_o => slink_rx_rdy_o_int, -- ready to receive
372
    -- GPIO (available if IO_GPIO_EN = true) --
373
    gpio_o         => gpio_o_int,      -- parallel output
374
    gpio_i         => gpio_i_int,      -- parallel input
375
    -- primary UART0 (available if IO_UART0_EN = true) --
376
    uart0_txd_o    => uart0_txd_o_int, -- UART0 send data
377
    uart0_rxd_i    => uart0_rxd_i_int, -- UART0 receive data
378
    uart0_rts_o    => uart0_rts_o_int, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
379
    uart0_cts_i    => uart0_cts_i_int, -- hw flow control: UART0.TX allowed to transmit, low-active, optional
380
    -- secondary UART1 (available if IO_UART1_EN = true) --
381
    uart1_txd_o    => uart1_txd_o_int, -- UART1 send data
382
    uart1_rxd_i    => uart1_rxd_i_int, -- UART1 receive data
383
    uart1_rts_o    => uart1_rts_o_int, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
384
    uart1_cts_i    => uart1_cts_i_int, -- hw flow control: UART1.TX allowed to transmit, low-active, optional
385
    -- SPI (available if IO_SPI_EN = true) --
386
    spi_sck_o      => spi_sck_o_int,   -- SPI serial clock
387
    spi_sdo_o      => spi_sdo_o_int,   -- controller data out, peripheral data in
388
    spi_sdi_i      => spi_sdi_i_int,   -- controller data in, peripheral data out
389
    spi_csn_o      => spi_csn_o_int,   -- SPI CS
390
    -- TWI (available if IO_TWI_EN = true) --
391
    twi_sda_io     => twi_sda_io,      -- twi serial data line
392
    twi_scl_io     => twi_scl_io,      -- twi serial clock line
393
    -- PWM (available if IO_PWM_NUM_CH > 0) --
394
    pwm_o          => pwm_o_int,       -- pwm channels
395
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
396
    cfs_in_i       => cfs_in_i_int,    -- custom inputs
397
    cfs_out_o      => cfs_out_o_int,   -- custom outputs
398
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
399
    neoled_o       => neoled_o_int,    -- async serial data line
400
    -- System time --
401
    mtime_i        => mtime_i_int,     -- current system time from ext. MTIME (if IO_MTIME_EN = false)
402
    mtime_o        => mtime_o_int,     -- current system time from int. MTIME (if IO_MTIME_EN = true)
403
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
404
    xirq_i         => xirq_i_int,      -- IRQ channels
405
    -- CPU Interrupts --
406
    mtime_irq_i    => mtime_irq_i_int, -- machine timer interrupt, available if IO_MTIME_EN = false
407
    msw_irq_i      => msw_irq_i_int,   -- machine software interrupt
408
    mext_irq_i     => mext_irq_i_int   -- machine external interrupt
409
  );
410
 
411
  -- type conversion --
412
  clk_i_int       <= std_ulogic(clk_i);
413
  rstn_i_int      <= std_ulogic(rstn_i);
414
 
415
  jtag_trst_i_int <= std_ulogic(jtag_trst_i);
416
  jtag_tck_i_int  <= std_ulogic(jtag_tck_i);
417
  jtag_tdi_i_int  <= std_ulogic(jtag_tdi_i);
418
  jtag_tdo_o      <= std_logic(jtag_tdo_o_int);
419
  jtag_tms_i_int  <= std_ulogic(jtag_tms_i);
420
 
421
  wb_tag_o        <= std_logic_vector(wb_tag_o_int);
422
  wb_adr_o        <= std_logic_vector(wb_adr_o_int);
423
  wb_dat_i_int    <= std_ulogic_vector(wb_dat_i);
424
  wb_dat_o        <= std_logic_vector(wb_dat_o_int);
425
  wb_we_o         <= std_logic(wb_we_o_int);
426
  wb_sel_o        <= std_logic_vector(wb_sel_o_int);
427
  wb_stb_o        <= std_logic(wb_stb_o_int);
428
  wb_cyc_o        <= std_logic(wb_cyc_o_int);
429
  wb_lock_o       <= std_logic(wb_lock_o_int);
430
  wb_ack_i_int    <= std_ulogic(wb_ack_i);
431
  wb_err_i_int    <= std_ulogic(wb_err_i);
432
 
433
  fence_o         <= std_logic(fence_o_int);
434
  fencei_o        <= std_logic(fencei_o_int);
435
 
436
  slink_tx_val_o     <= std_logic_vector(slink_tx_val_o_int);
437
  slink_tx_rdy_i_int <= std_ulogic_vector(slink_tx_rdy_i);
438
  slink_rx_val_i_int <= std_ulogic_vector(slink_rx_val_i);
439
  slink_rx_rdy_o     <= std_logic_vector(slink_rx_rdy_o_int);
440
 
441
  slink_conv:
442
  for i in 0 to 7 generate
443
    slink_tx_dat_o(i)     <= std_logic_vector(slink_tx_dat_o_int(i));
444
    slink_rx_dat_i_int(i) <= std_ulogic_vector(slink_rx_dat_i(i));
445
  end generate;
446
 
447
  gpio_o          <= std_logic_vector(gpio_o_int);
448
  gpio_i_int      <= std_ulogic_vector(gpio_i);
449
 
450
  uart0_txd_o     <= std_logic(uart0_txd_o_int);
451
  uart0_rxd_i_int <= std_ulogic(uart0_rxd_i);
452 65 zero_gravi
  uart0_rts_o     <= std_logic(uart0_rts_o_int);
453
  uart0_cts_i_int <= std_ulogic(uart0_cts_i);
454 63 zero_gravi
  uart1_txd_o     <= std_logic(uart1_txd_o_int);
455
  uart1_rxd_i_int <= std_ulogic(uart1_rxd_i);
456 65 zero_gravi
  uart1_rts_o     <= std_logic(uart1_rts_o_int);
457
  uart1_cts_i_int <= std_ulogic(uart1_cts_i);
458 63 zero_gravi
 
459
  spi_sck_o       <= std_logic(spi_sck_o_int);
460
  spi_sdo_o       <= std_logic(spi_sdo_o_int);
461
  spi_sdi_i_int   <= std_ulogic(spi_sdi_i);
462
  spi_csn_o       <= std_logic_vector(spi_csn_o_int);
463
 
464
  pwm_o           <= std_logic_vector(pwm_o_int);
465
 
466
  cfs_in_i_int    <= std_ulogic_vector(cfs_in_i);
467
  cfs_out_o       <= std_logic_vector(cfs_out_o_int);
468
 
469
  neoled_o        <= std_logic(neoled_o_int);
470
 
471
  mtime_i_int     <= std_ulogic_vector(mtime_i);
472
  mtime_o         <= std_logic_vector(mtime_o_int);
473
 
474
  xirq_i_int      <= std_ulogic_vector(xirq_i);
475
 
476
  msw_irq_i_int   <= std_ulogic(msw_irq_i);
477
  mext_irq_i_int  <= std_ulogic(mext_irq_i);
478
 
479
 
480
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.