OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [system_integration/] [neorv32_SystemTop_axi4lite.vhd] - Blame information for rev 63

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 63 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity with AXI4-Lite Compatible Master Interface >>               #
3
-- # ********************************************************************************************* #
4
-- # (c) "AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.                         #
5
-- # Note: External MTIME is not supported.                                                        #
6
-- # ********************************************************************************************* #
7
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
10
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
library neorv32;
43
use neorv32.neorv32_package.all;
44
 
45
entity neorv32_SystemTop_axi4lite is
46
  generic (
47
    -- ------------------------------------------------------------
48
    -- Configuration Generics --
49
    -- ------------------------------------------------------------
50
    -- General --
51
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52
    INT_BOOTLOADER_EN            : boolean := true;   -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
53
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
54
    -- On-Chip Debugger (OCD) --
55
    ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
56
    -- RISC-V CPU Extensions --
57
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
58
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
59
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
60
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
61
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
62
    CPU_EXTENSION_RISCV_Zbb      : boolean := false;  -- implement basic bit-manipulation sub-extension?
63
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT reg!)
64
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
65
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
66
    -- Extension Options --
67
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
68
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
69
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
70
    -- Physical Memory Protection (PMP) --
71
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
72
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
73
    -- Hardware Performance Monitors (HPM) --
74
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
75
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
76
    -- Internal Instruction memory --
77
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
78
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
79
    -- Internal Data memory --
80
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
81
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
82
    -- Internal Cache memory --
83
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
84
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
85
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
86
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
87
    -- External Interrupts Controller (XIRQ) --
88
    XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
89
    XIRQ_TRIGGER_TYPE            : std_logic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger type: 0=level, 1=edge
90
    XIRQ_TRIGGER_POLARITY        : std_logic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
91
    -- Processor peripherals --
92
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
93
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
94
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
95
    IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
96
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
97
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
98
    IO_PWM_NUM_CH                : natural := 4;      -- number of PWM channels to implement (0..60); 0 = disabled
99
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
100
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
101
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
102
    IO_CFS_CONFIG                : std_logic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
103
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
104
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
105
    IO_NEOLED_EN                 : boolean := true    -- implement NeoPixel-compatible smart LED interface (NEOLED)?
106
  );
107
  port (
108
    -- ------------------------------------------------------------
109
    -- AXI4-Lite-Compatible Master Interface --
110
    -- ------------------------------------------------------------
111
    -- Clock and Reset --
112
    m_axi_aclk    : in  std_logic;
113
    m_axi_aresetn : in  std_logic;
114
    -- Write Address Channel --
115
    m_axi_awaddr  : out std_logic_vector(31 downto 0);
116
    m_axi_awprot  : out std_logic_vector(2 downto 0);
117
    m_axi_awvalid : out std_logic;
118
    m_axi_awready : in  std_logic;
119
    -- Write Data Channel --
120
    m_axi_wdata   : out std_logic_vector(31 downto 0);
121
    m_axi_wstrb   : out std_logic_vector(3 downto 0);
122
    m_axi_wvalid  : out std_logic;
123
    m_axi_wready  : in  std_logic;
124
    -- Read Address Channel --
125
    m_axi_araddr  : out std_logic_vector(31 downto 0);
126
    m_axi_arprot  : out std_logic_vector(2 downto 0);
127
    m_axi_arvalid : out std_logic;
128
    m_axi_arready : in  std_logic;
129
    -- Read Data Channel --
130
    m_axi_rdata   : in  std_logic_vector(31 downto 0);
131
    m_axi_rresp   : in  std_logic_vector(1 downto 0);
132
    m_axi_rvalid  : in  std_logic;
133
    m_axi_rready  : out std_logic;
134
    -- Write Response Channel --
135
    m_axi_bresp   : in  std_logic_vector(1 downto 0);
136
    m_axi_bvalid  : in  std_logic;
137
    m_axi_bready  : out std_logic;
138
    -- ------------------------------------------------------------
139
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
140
    -- ------------------------------------------------------------
141
    jtag_trst_i   : in  std_logic := '0'; -- low-active TAP reset (optional)
142
    jtag_tck_i    : in  std_logic := '0'; -- serial clock
143
    jtag_tdi_i    : in  std_logic := '0'; -- serial data input
144
    jtag_tdo_o    : out std_logic;        -- serial data output
145
    jtag_tms_i    : in  std_logic := '0'; -- mode select
146
    -- ------------------------------------------------------------
147
    -- Processor IO --
148
    -- ------------------------------------------------------------
149
    -- GPIO (available if IO_GPIO_EN = true) --
150
    gpio_o        : out std_logic_vector(63 downto 0); -- parallel output
151
    gpio_i        : in  std_logic_vector(63 downto 0) := (others => '0'); -- parallel input
152
    -- primary UART0 (available if IO_UART0_EN = true) --
153
    uart0_txd_o   : out std_logic; -- UART0 send data
154
    uart0_rxd_i   : in  std_logic := '0'; -- UART0 receive data
155
    uart0_rts_o   : out std_logic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
156
    uart0_cts_i   : in  std_logic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
157
    -- secondary UART1 (available if IO_UART1_EN = true) --
158
    uart1_txd_o   : out std_logic; -- UART1 send data
159
    uart1_rxd_i   : in  std_logic := '0'; -- UART1 receive data
160
    uart1_rts_o   : out std_logic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
161
    uart1_cts_i   : in  std_logic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
162
    -- SPI (available if IO_SPI_EN = true) --
163
    spi_sck_o     : out std_logic; -- SPI serial clock
164
    spi_sdo_o     : out std_logic; -- controller data out, peripheral data in
165
    spi_sdi_i     : in  std_logic := '0'; -- controller data in, peripheral data out
166
    spi_csn_o     : out std_logic_vector(07 downto 0); -- SPI CS
167
    -- TWI (available if IO_TWI_EN = true) --
168
    twi_sda_io    : inout std_logic; -- twi serial data line
169
    twi_scl_io    : inout std_logic; -- twi serial clock line
170
    -- PWM (available if IO_PWM_NUM_CH > 0) --
171
    pwm_o         : out std_logic_vector(IO_PWM_NUM_CH-1 downto 0);  -- pwm channels
172
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
173
    cfs_in_i      : in  std_logic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom inputs
174
    cfs_out_o     : out std_logic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom outputs
175
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
176
    neoled_o      : out std_logic; -- async serial data line
177
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
178
    xirq_i        : in  std_logic_vector(XIRQ_NUM_CH-1 downto 0) := (others => '0'); -- IRQ channels
179
    -- CPU Interrupts --
180
    nm_irq_i      : in  std_logic := '0'; -- non-maskable interrupt
181
    msw_irq_i     : in  std_logic := '0'; -- machine software interrupt
182
    mext_irq_i    : in  std_logic := '0'  -- machine external interrupt
183
  );
184
end entity;
185
 
186
architecture neorv32_SystemTop_axi4lite_rtl of neorv32_SystemTop_axi4lite is
187
 
188
  -- type conversion --
189
  constant IO_CFS_CONFIG_INT         : std_ulogic_vector(31 downto 0) := std_ulogic_vector(IO_CFS_CONFIG);
190
  constant XIRQ_TRIGGER_TYPE_INT     : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_TYPE);
191
  constant XIRQ_TRIGGER_POLARITY_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_POLARITY);
192
  --
193
  signal clk_i_int       : std_ulogic;
194
  signal rstn_i_int      : std_ulogic;
195
  --
196
  signal jtag_trst_i_int :std_ulogic;
197
  signal jtag_tck_i_int  :std_ulogic;
198
  signal jtag_tdi_i_int  :std_ulogic;
199
  signal jtag_tdo_o_int  :std_ulogic;
200
  signal jtag_tms_i_int  :std_ulogic;
201
  --
202
  signal gpio_o_int      : std_ulogic_vector(63 downto 0);
203
  signal gpio_i_int      : std_ulogic_vector(63 downto 0);
204
  --
205
  signal uart0_txd_o_int : std_ulogic;
206
  signal uart0_rxd_i_int : std_ulogic;
207
  signal uart0_rts_o_int : std_ulogic;
208
  signal uart0_cts_i_int : std_ulogic;
209
  --
210
  signal uart1_txd_o_int : std_ulogic;
211
  signal uart1_rxd_i_int : std_ulogic;
212
  signal uart1_rts_o_int : std_ulogic;
213
  signal uart1_cts_i_int : std_ulogic;
214
  --
215
  signal spi_sck_o_int   : std_ulogic;
216
  signal spi_sdo_o_int   : std_ulogic;
217
  signal spi_sdi_i_int   : std_ulogic;
218
  signal spi_csn_o_int   : std_ulogic_vector(07 downto 0);
219
  --
220
  signal pwm_o_int       : std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0);
221
  --
222
  signal cfs_in_i_int    : std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0);
223
  signal cfs_out_o_int   : std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0);
224
  --
225
  signal neoled_o_int    : std_ulogic;
226
  --
227
  signal xirq_i_int      : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
228
  --
229
  signal nm_irq_i_int    : std_ulogic;
230
  signal msw_irq_i_int   : std_ulogic;
231
  signal mext_irq_i_int  : std_ulogic;
232
 
233
  -- internal wishbone bus --
234
  type wb_bus_t is record
235
    adr  : std_ulogic_vector(31 downto 0); -- address
236
    di   : std_ulogic_vector(31 downto 0); -- processor input data
237
    do   : std_ulogic_vector(31 downto 0); -- processor output data
238
    we   : std_ulogic; -- write enable
239
    sel  : std_ulogic_vector(03 downto 0); -- byte enable
240
    stb  : std_ulogic; -- strobe
241
    cyc  : std_ulogic; -- valid cycle
242
    ack  : std_ulogic; -- transfer acknowledge
243
    err  : std_ulogic; -- transfer error
244
    tag  : std_ulogic_vector(02 downto 0); -- tag
245
    lock : std_ulogic; -- exclusive access request
246
  end record;
247
  signal wb_core : wb_bus_t;
248
 
249
  -- AXI bridge control --
250
  type ctrl_t is record
251
    radr_received : std_ulogic;
252
    wadr_received : std_ulogic;
253
    wdat_received : std_ulogic;
254
  end record;
255
  signal ctrl : ctrl_t;
256
 
257
  signal ack_read, ack_write : std_ulogic; -- normal transfer termination
258
  signal err_read, err_write : std_ulogic; -- error transfer termination
259
 
260
begin
261
 
262
  -- Sanity Checks --------------------------------------------------------------------------
263
  -- -------------------------------------------------------------------------------------------
264
  assert not (CPU_EXTENSION_RISCV_A = true) report "NEORV32 PROCESSOR CONFIG WARNING: AXI4-Lite provides NO support for atomic memory operations. LR/SC access via AXI will raise a bus exception." severity warning;
265
 
266
 
267
  -- The Core Of The Problem ----------------------------------------------------------------
268
  -- -------------------------------------------------------------------------------------------
269
  neorv32_top_inst: neorv32_top
270
  generic map (
271
    -- General --
272
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,    -- clock frequency of clk_i in Hz
273
    INT_BOOTLOADER_EN            => INT_BOOTLOADER_EN,  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
274
    HW_THREAD_ID                 => HW_THREAD_ID,       -- hardware thread id (hartid)
275
    -- On-Chip Debugger (OCD) --
276
    ON_CHIP_DEBUGGER_EN          => ON_CHIP_DEBUGGER_EN,          -- implement on-chip debugger
277
    -- RISC-V CPU Extensions --
278
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
279
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
280
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
281
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
282
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
283
    CPU_EXTENSION_RISCV_Zbb      => CPU_EXTENSION_RISCV_Zbb,      -- implement basic bit-manipulation sub-extension?
284
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
285
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
286
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
287
    -- Extension Options --
288
    FAST_MUL_EN                  => FAST_MUL_EN,        -- use DSPs for M extension's multiplier
289
    FAST_SHIFT_EN                => FAST_SHIFT_EN,      -- use barrel shifter for shift operations
290
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,      -- total width of CPU cycle and instret counters (0..64)
291
    -- Physical Memory Protection (PMP) --
292
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,    -- number of regions (0..64)
293
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
294
    -- Hardware Performance Monitors (HPM) --
295
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,       -- number of implemented HPM counters (0..29)
296
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH,      -- total size of HPM counters (0..64)
297
    -- Internal Instruction memory --
298
    MEM_INT_IMEM_EN              => MEM_INT_IMEM_EN,    -- implement processor-internal instruction memory
299
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
300
    -- Internal Data memory --
301
    MEM_INT_DMEM_EN              => MEM_INT_DMEM_EN,    -- implement processor-internal data memory
302
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE,  -- size of processor-internal data memory in bytes
303
    -- Internal Cache memory --
304
    ICACHE_EN                    => ICACHE_EN,          -- implement instruction cache
305
    ICACHE_NUM_BLOCKS            => ICACHE_NUM_BLOCKS,  -- i-cache: number of blocks (min 1), has to be a power of 2
306
    ICACHE_BLOCK_SIZE            => ICACHE_BLOCK_SIZE,  -- i-cache: block size in bytes (min 4), has to be a power of 2
307
    ICACHE_ASSOCIATIVITY         => ICACHE_ASSOCIATIVITY, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
308
    -- External memory interface --
309
    MEM_EXT_EN                   => true,               -- implement external memory bus interface?
310
    MEM_EXT_TIMEOUT              => 0,                  -- cycles after a pending bus access auto-terminates (0 = disabled)
311
    MEM_EXT_PIPE_MODE            => false,              -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
312
    MEM_EXT_BIG_ENDIAN           => false,              -- byte order: true=big-endian, false=little-endian
313
    MEM_EXT_ASYNC_RX             => false,              -- use register buffer for RX data when false
314
    -- External Interrupts Controller (XIRQ) --
315
    XIRQ_NUM_CH                  => XIRQ_NUM_CH, -- number of external IRQ channels (0..32)
316
    XIRQ_TRIGGER_TYPE            => XIRQ_TRIGGER_TYPE_INT, -- trigger type: 0=level, 1=edge
317
    XIRQ_TRIGGER_POLARITY        => XIRQ_TRIGGER_POLARITY_INT, -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
318
    -- Processor peripherals --
319
    IO_GPIO_EN                   => IO_GPIO_EN,         -- implement general purpose input/output port unit (GPIO)?
320
    IO_MTIME_EN                  => IO_MTIME_EN,        -- implement machine system timer (MTIME)?
321
    IO_UART0_EN                  => IO_UART0_EN,        -- implement primary universal asynchronous receiver/transmitter (UART0)?
322
    IO_UART1_EN                  => IO_UART1_EN,        -- implement secondary universal asynchronous receiver/transmitter (UART1)?
323
    IO_SPI_EN                    => IO_SPI_EN,          -- implement serial peripheral interface (SPI)?
324
    IO_TWI_EN                    => IO_TWI_EN,          -- implement two-wire interface (TWI)?
325
    IO_PWM_NUM_CH                => IO_PWM_NUM_CH,      -- number of PWM channels to implement (0..60); 0 = disabled
326
    IO_WDT_EN                    => IO_WDT_EN,          -- implement watch dog timer (WDT)?
327
    IO_TRNG_EN                   => IO_TRNG_EN,         -- implement true random number generator (TRNG)?
328
    IO_CFS_EN                    => IO_CFS_EN,          -- implement custom functions subsystem (CFS)?
329
    IO_CFS_CONFIG                => IO_CFS_CONFIG_INT,  -- custom CFS configuration generic
330
    IO_CFS_IN_SIZE               => IO_CFS_IN_SIZE,     -- size of CFS input conduit in bits
331
    IO_CFS_OUT_SIZE              => IO_CFS_OUT_SIZE,    -- size of CFS output conduit in bits
332
    IO_NEOLED_EN                 => IO_NEOLED_EN        -- implement NeoPixel-compatible smart LED interface (NEOLED)?
333
  )
334
  port map (
335
    -- Global control --
336
    clk_i       => clk_i_int,       -- global clock, rising edge
337
    rstn_i      => rstn_i_int,      -- global reset, low-active, async
338
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
339
    jtag_trst_i => jtag_trst_i_int, -- low-active TAP reset (optional)
340
    jtag_tck_i  => jtag_tck_i_int,  -- serial clock
341
    jtag_tdi_i  => jtag_tdi_i_int,  -- serial data input
342
    jtag_tdo_o  => jtag_tdo_o_int,  -- serial data output
343
    jtag_tms_i  => jtag_tms_i_int,  -- mode select
344
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
345
    wb_tag_o    => wb_core.tag,     -- tag
346
    wb_adr_o    => wb_core.adr,     -- address
347
    wb_dat_i    => wb_core.di,      -- read data
348
    wb_dat_o    => wb_core.do,      -- write data
349
    wb_we_o     => wb_core.we,      -- read/write
350
    wb_sel_o    => wb_core.sel,     -- byte enable
351
    wb_stb_o    => wb_core.stb,     -- strobe
352
    wb_cyc_o    => wb_core.cyc,     -- valid cycle
353
    wb_lock_o   => wb_core.lock,    -- exclusive access request
354
    wb_ack_i    => wb_core.ack,     -- transfer acknowledge
355
    wb_err_i    => wb_core.err,     -- transfer error
356
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
357
    fence_o     => open,            -- indicates an executed FENCE operation
358
    fencei_o    => open,            -- indicates an executed FENCEI operation
359
    -- GPIO (available if IO_GPIO_EN = true) --
360
    gpio_o      => gpio_o_int,      -- parallel output
361
    gpio_i      => gpio_i_int,      -- parallel input
362
    -- primary UART0 (available if IO_UART0_EN = true) --
363
    uart0_txd_o => uart0_txd_o_int, -- UART0 send data
364
    uart0_rxd_i => uart0_rxd_i_int, -- UART0 receive data
365
    uart0_rts_o => uart0_rts_o_int, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
366
    uart0_cts_i => uart0_cts_i_int, -- hw flow control: UART0.TX allowed to transmit, low-active, optional
367
    -- secondary UART1 (available if IO_UART1_EN = true) --
368
    uart1_txd_o => uart1_txd_o_int, -- UART1 send data
369
    uart1_rxd_i => uart1_rxd_i_int, -- UART1 receive data
370
    uart1_rts_o => uart1_rts_o_int, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
371
    uart1_cts_i => uart1_cts_i_int, -- hw flow control: UART1.TX allowed to transmit, low-active, optional
372
    -- SPI (available if IO_SPI_EN = true) --
373
    spi_sck_o   => spi_sck_o_int,   -- SPI serial clock
374
    spi_sdo_o   => spi_sdo_o_int,   -- controller data out, peripheral data in
375
    spi_sdi_i   => spi_sdi_i_int,   -- controller data in, peripheral data out
376
    spi_csn_o   => spi_csn_o_int,   -- SPI CS
377
    -- TWI (available if IO_TWI_EN = true) --
378
    twi_sda_io  => twi_sda_io,      -- twi serial data line
379
    twi_scl_io  => twi_scl_io,      -- twi serial clock line
380
    -- PWM available if IO_PWM_NUM_CH > 0) --
381
    pwm_o       => pwm_o_int,       -- pwm channels
382
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
383
    cfs_in_i    => cfs_in_i_int,    -- custom inputs
384
    cfs_out_o   => cfs_out_o_int,   -- custom outputs
385
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
386
    neoled_o    => neoled_o_int,    -- async serial data line
387
    -- System time --
388
    mtime_i     => (others => '0'), -- current system time from ext. MTIME (if IO_MTIME_EN = false)
389
    mtime_o     => open,            -- current system time from int. MTIME (if IO_MTIME_EN = true)
390
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
391
    xirq_i      => xirq_i_int,      -- IRQ channels
392
    -- CPU Interrupts --
393
    nm_irq_i    => nm_irq_i_int,    -- non-maskable interrupt
394
    mtime_irq_i => '0',             -- machine timer interrupt, available if IO_MTIME_EN = false
395
    msw_irq_i   => msw_irq_i_int,   -- machine software interrupt
396
    mext_irq_i  => mext_irq_i_int   -- machine external interrupt
397
  );
398
 
399
  -- type conversion --
400
  gpio_o          <= std_logic_vector(gpio_o_int);
401
  gpio_i_int      <= std_ulogic_vector(gpio_i);
402
 
403
  jtag_trst_i_int <= std_ulogic(jtag_trst_i);
404
  jtag_tck_i_int  <= std_ulogic(jtag_tck_i);
405
  jtag_tdi_i_int  <= std_ulogic(jtag_tdi_i);
406
  jtag_tdo_o      <= std_logic(jtag_tdo_o_int);
407
  jtag_tms_i_int  <= std_ulogic(jtag_tms_i);
408
 
409
  uart0_txd_o     <= std_logic(uart0_txd_o_int);
410
  uart0_rxd_i_int <= std_ulogic(uart0_rxd_i);
411
  uart1_txd_o     <= std_logic(uart0_txd_o_int);
412
  uart1_rxd_i_int <= std_ulogic(uart0_rxd_i);
413
 
414
  spi_sck_o       <= std_logic(spi_sck_o_int);
415
  spi_sdo_o       <= std_logic(spi_sdo_o_int);
416
  spi_sdi_i_int   <= std_ulogic(spi_sdi_i);
417
  spi_csn_o       <= std_logic_vector(spi_csn_o_int);
418
 
419
  pwm_o           <= std_logic_vector(pwm_o_int);
420
 
421
  cfs_in_i_int    <= std_ulogic_vector(cfs_in_i);
422
  cfs_out_o       <= std_logic_vector(cfs_out_o_int);
423
 
424
  neoled_o        <= std_logic(neoled_o_int);
425
 
426
  mext_irq_i_int  <= std_ulogic(mext_irq_i);
427
 
428
 
429
  -- Wishbone to AXI4-Lite Bridge -----------------------------------------------------------
430
  -- -------------------------------------------------------------------------------------------
431
 
432
  -- access arbiter --
433
  axi_access_arbiter: process(rstn_i_int, clk_i_int)
434
  begin
435
    if (rstn_i_int = '0') then
436
      ctrl.radr_received <= '0';
437
      ctrl.wadr_received <= '0';
438
      ctrl.wdat_received <= '0';
439
    elsif rising_edge(clk_i_int) then
440
      if (wb_core.cyc = '0') then -- idle
441
        ctrl.radr_received <= '0';
442
        ctrl.wadr_received <= '0';
443
        ctrl.wdat_received <= '0';
444
      else -- busy
445
        -- "read address received" flag --
446
        if (wb_core.we = '0') then -- pending READ
447
          if (m_axi_arready = '1') then -- read address received by interconnect?
448
            ctrl.radr_received <= '1';
449
          end if;
450
        end if;
451
        -- "write address received" flag --
452
        if (wb_core.we = '1') then -- pending WRITE
453
          if (m_axi_awready = '1') then -- write address received by interconnect?
454
            ctrl.wadr_received <= '1';
455
          end if;
456
        end if;
457
        -- "write data received" flag --
458
        if (wb_core.we = '1') then -- pending WRITE
459
          if (m_axi_wready = '1') then -- write data received by interconnect?
460
            ctrl.wdat_received <= '1';
461
          end if;
462
        end if;
463
      end if;
464
    end if;
465
  end process axi_access_arbiter;
466
 
467
 
468
  -- AXI4-Lite Global Signals --
469
  clk_i_int     <= std_ulogic(m_axi_aclk);
470
  rstn_i_int    <= std_ulogic(m_axi_aresetn);
471
 
472
 
473
  -- AXI4-Lite Read Address Channel --
474
  m_axi_araddr  <= std_logic_vector(wb_core.adr);
475
  m_axi_arvalid <= std_logic((wb_core.cyc and (not wb_core.we)) and (not ctrl.radr_received));
476
--m_axi_arprot  <= "000"; -- recommended by Xilinx
477
  m_axi_arprot(0) <= wb_core.tag(0); -- 0:unprivileged access, 1:privileged access
478
  m_axi_arprot(1) <= wb_core.tag(1); -- 0:secure access, 1:non-secure access
479
  m_axi_arprot(2) <= wb_core.tag(2); -- 0:data access, 1:instruction access
480
 
481
  -- AXI4-Lite Read Data Channel --
482
  m_axi_rready  <= std_logic(wb_core.cyc and (not wb_core.we));
483
  wb_core.di    <= std_ulogic_vector(m_axi_rdata);
484
  ack_read      <= std_ulogic(m_axi_rvalid);
485
  err_read      <= '0' when (m_axi_rresp = "00") else '1'; -- read response = ok? check this signal only when m_axi_rvalid = '1'
486
 
487
 
488
  -- AXI4-Lite Write Address Channel --
489
  m_axi_awaddr  <= std_logic_vector(wb_core.adr);
490
  m_axi_awvalid <= std_logic((wb_core.cyc and wb_core.we) and (not ctrl.wadr_received));
491
--m_axi_awprot  <= "000"; -- recommended by Xilinx
492
  m_axi_awprot(0) <= wb_core.tag(0); -- 0:unprivileged access, 1:privileged access
493
  m_axi_awprot(1) <= wb_core.tag(1); -- 0:secure access, 1:non-secure access
494
  m_axi_awprot(2) <= wb_core.tag(2); -- 0:data access, 1:instruction access
495
 
496
  -- AXI4-Lite Write Data Channel --
497
  m_axi_wdata   <= std_logic_vector(wb_core.do);
498
  m_axi_wvalid  <= std_logic((wb_core.cyc and wb_core.we) and (not ctrl.wdat_received));
499
  m_axi_wstrb   <= std_logic_vector(wb_core.sel); -- byte-enable
500
 
501
  -- AXI4-Lite Write Response Channel --
502
  m_axi_bready  <= std_logic(wb_core.cyc and wb_core.we);
503
  ack_write     <= std_ulogic(m_axi_bvalid);
504
  err_write     <= '0' when (m_axi_bresp = "00") else '1'; -- write response = ok? check this signal only when m_axi_bvalid = '1'
505
 
506
 
507
  -- Wishbone transfer termination --
508
  wb_core.ack   <= ack_read or ack_write;
509
  wb_core.err   <= (ack_read and err_read) or (ack_write and err_write) or wb_core.lock;
510
 
511
 
512
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.