OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [system_integration/] [neorv32_SystemTop_axi4lite.vhd] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 63 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity with AXI4-Lite Compatible Master Interface >>               #
3
-- # ********************************************************************************************* #
4
-- # (c) "AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.                         #
5
-- # Note: External MTIME is not supported.                                                        #
6
-- # ********************************************************************************************* #
7
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
10
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
library neorv32;
43
use neorv32.neorv32_package.all;
44
 
45
entity neorv32_SystemTop_axi4lite is
46
  generic (
47
    -- ------------------------------------------------------------
48
    -- Configuration Generics --
49
    -- ------------------------------------------------------------
50
    -- General --
51
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52
    INT_BOOTLOADER_EN            : boolean := true;   -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
53
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
54
    -- On-Chip Debugger (OCD) --
55
    ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
56
    -- RISC-V CPU Extensions --
57
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
58
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
59
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
60
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
61
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
62
    CPU_EXTENSION_RISCV_Zbb      : boolean := false;  -- implement basic bit-manipulation sub-extension?
63
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT reg!)
64
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
65
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
66
    -- Extension Options --
67
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
68
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
69
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
70
    -- Physical Memory Protection (PMP) --
71
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
72
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
73
    -- Hardware Performance Monitors (HPM) --
74
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
75
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
76
    -- Internal Instruction memory --
77
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
78
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
79
    -- Internal Data memory --
80
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
81
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
82
    -- Internal Cache memory --
83
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
84
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
85
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
86
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
87
    -- External Interrupts Controller (XIRQ) --
88
    XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
89
    XIRQ_TRIGGER_TYPE            : std_logic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger type: 0=level, 1=edge
90
    XIRQ_TRIGGER_POLARITY        : std_logic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
91
    -- Processor peripherals --
92
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
93
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
94
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
95 65 zero_gravi
    IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
96
    IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
97 63 zero_gravi
    IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
98 65 zero_gravi
    IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
99
    IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
100 63 zero_gravi
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
101
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
102
    IO_PWM_NUM_CH                : natural := 4;      -- number of PWM channels to implement (0..60); 0 = disabled
103
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
104
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
105
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
106
    IO_CFS_CONFIG                : std_logic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
107
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
108
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
109 65 zero_gravi
    IO_NEOLED_EN                 : boolean := true;   -- implement NeoPixel-compatible smart LED interface (NEOLED)?
110
    IO_NEOLED_TX_FIFO            : natural := 1       -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
111 63 zero_gravi
  );
112
  port (
113
    -- ------------------------------------------------------------
114
    -- AXI4-Lite-Compatible Master Interface --
115
    -- ------------------------------------------------------------
116
    -- Clock and Reset --
117
    m_axi_aclk    : in  std_logic;
118
    m_axi_aresetn : in  std_logic;
119
    -- Write Address Channel --
120
    m_axi_awaddr  : out std_logic_vector(31 downto 0);
121
    m_axi_awprot  : out std_logic_vector(2 downto 0);
122
    m_axi_awvalid : out std_logic;
123
    m_axi_awready : in  std_logic;
124
    -- Write Data Channel --
125
    m_axi_wdata   : out std_logic_vector(31 downto 0);
126
    m_axi_wstrb   : out std_logic_vector(3 downto 0);
127
    m_axi_wvalid  : out std_logic;
128
    m_axi_wready  : in  std_logic;
129
    -- Read Address Channel --
130
    m_axi_araddr  : out std_logic_vector(31 downto 0);
131
    m_axi_arprot  : out std_logic_vector(2 downto 0);
132
    m_axi_arvalid : out std_logic;
133
    m_axi_arready : in  std_logic;
134
    -- Read Data Channel --
135
    m_axi_rdata   : in  std_logic_vector(31 downto 0);
136
    m_axi_rresp   : in  std_logic_vector(1 downto 0);
137
    m_axi_rvalid  : in  std_logic;
138
    m_axi_rready  : out std_logic;
139
    -- Write Response Channel --
140
    m_axi_bresp   : in  std_logic_vector(1 downto 0);
141
    m_axi_bvalid  : in  std_logic;
142
    m_axi_bready  : out std_logic;
143
    -- ------------------------------------------------------------
144
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
145
    -- ------------------------------------------------------------
146
    jtag_trst_i   : in  std_logic := '0'; -- low-active TAP reset (optional)
147
    jtag_tck_i    : in  std_logic := '0'; -- serial clock
148
    jtag_tdi_i    : in  std_logic := '0'; -- serial data input
149
    jtag_tdo_o    : out std_logic;        -- serial data output
150
    jtag_tms_i    : in  std_logic := '0'; -- mode select
151
    -- ------------------------------------------------------------
152
    -- Processor IO --
153
    -- ------------------------------------------------------------
154
    -- GPIO (available if IO_GPIO_EN = true) --
155
    gpio_o        : out std_logic_vector(63 downto 0); -- parallel output
156
    gpio_i        : in  std_logic_vector(63 downto 0) := (others => '0'); -- parallel input
157
    -- primary UART0 (available if IO_UART0_EN = true) --
158
    uart0_txd_o   : out std_logic; -- UART0 send data
159
    uart0_rxd_i   : in  std_logic := '0'; -- UART0 receive data
160
    uart0_rts_o   : out std_logic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
161
    uart0_cts_i   : in  std_logic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
162
    -- secondary UART1 (available if IO_UART1_EN = true) --
163
    uart1_txd_o   : out std_logic; -- UART1 send data
164
    uart1_rxd_i   : in  std_logic := '0'; -- UART1 receive data
165
    uart1_rts_o   : out std_logic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
166
    uart1_cts_i   : in  std_logic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
167
    -- SPI (available if IO_SPI_EN = true) --
168
    spi_sck_o     : out std_logic; -- SPI serial clock
169
    spi_sdo_o     : out std_logic; -- controller data out, peripheral data in
170
    spi_sdi_i     : in  std_logic := '0'; -- controller data in, peripheral data out
171
    spi_csn_o     : out std_logic_vector(07 downto 0); -- SPI CS
172
    -- TWI (available if IO_TWI_EN = true) --
173
    twi_sda_io    : inout std_logic; -- twi serial data line
174
    twi_scl_io    : inout std_logic; -- twi serial clock line
175
    -- PWM (available if IO_PWM_NUM_CH > 0) --
176
    pwm_o         : out std_logic_vector(IO_PWM_NUM_CH-1 downto 0);  -- pwm channels
177
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
178
    cfs_in_i      : in  std_logic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom inputs
179
    cfs_out_o     : out std_logic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom outputs
180
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
181
    neoled_o      : out std_logic; -- async serial data line
182
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
183
    xirq_i        : in  std_logic_vector(XIRQ_NUM_CH-1 downto 0) := (others => '0'); -- IRQ channels
184
    -- CPU Interrupts --
185
    msw_irq_i     : in  std_logic := '0'; -- machine software interrupt
186
    mext_irq_i    : in  std_logic := '0'  -- machine external interrupt
187
  );
188
end entity;
189
 
190
architecture neorv32_SystemTop_axi4lite_rtl of neorv32_SystemTop_axi4lite is
191
 
192
  -- type conversion --
193
  constant IO_CFS_CONFIG_INT         : std_ulogic_vector(31 downto 0) := std_ulogic_vector(IO_CFS_CONFIG);
194
  constant XIRQ_TRIGGER_TYPE_INT     : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_TYPE);
195
  constant XIRQ_TRIGGER_POLARITY_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_POLARITY);
196
  --
197
  signal clk_i_int       : std_ulogic;
198
  signal rstn_i_int      : std_ulogic;
199
  --
200
  signal jtag_trst_i_int :std_ulogic;
201
  signal jtag_tck_i_int  :std_ulogic;
202
  signal jtag_tdi_i_int  :std_ulogic;
203
  signal jtag_tdo_o_int  :std_ulogic;
204
  signal jtag_tms_i_int  :std_ulogic;
205
  --
206
  signal gpio_o_int      : std_ulogic_vector(63 downto 0);
207
  signal gpio_i_int      : std_ulogic_vector(63 downto 0);
208
  --
209
  signal uart0_txd_o_int : std_ulogic;
210
  signal uart0_rxd_i_int : std_ulogic;
211
  signal uart0_rts_o_int : std_ulogic;
212
  signal uart0_cts_i_int : std_ulogic;
213
  --
214
  signal uart1_txd_o_int : std_ulogic;
215
  signal uart1_rxd_i_int : std_ulogic;
216
  signal uart1_rts_o_int : std_ulogic;
217
  signal uart1_cts_i_int : std_ulogic;
218
  --
219
  signal spi_sck_o_int   : std_ulogic;
220
  signal spi_sdo_o_int   : std_ulogic;
221
  signal spi_sdi_i_int   : std_ulogic;
222
  signal spi_csn_o_int   : std_ulogic_vector(07 downto 0);
223
  --
224
  signal pwm_o_int       : std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0);
225
  --
226
  signal cfs_in_i_int    : std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0);
227
  signal cfs_out_o_int   : std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0);
228
  --
229
  signal neoled_o_int    : std_ulogic;
230
  --
231
  signal xirq_i_int      : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
232
  --
233
  signal msw_irq_i_int   : std_ulogic;
234
  signal mext_irq_i_int  : std_ulogic;
235
 
236
  -- internal wishbone bus --
237
  type wb_bus_t is record
238
    adr  : std_ulogic_vector(31 downto 0); -- address
239
    di   : std_ulogic_vector(31 downto 0); -- processor input data
240
    do   : std_ulogic_vector(31 downto 0); -- processor output data
241
    we   : std_ulogic; -- write enable
242
    sel  : std_ulogic_vector(03 downto 0); -- byte enable
243
    stb  : std_ulogic; -- strobe
244
    cyc  : std_ulogic; -- valid cycle
245
    ack  : std_ulogic; -- transfer acknowledge
246
    err  : std_ulogic; -- transfer error
247
    tag  : std_ulogic_vector(02 downto 0); -- tag
248
    lock : std_ulogic; -- exclusive access request
249
  end record;
250
  signal wb_core : wb_bus_t;
251
 
252
  -- AXI bridge control --
253
  type ctrl_t is record
254
    radr_received : std_ulogic;
255
    wadr_received : std_ulogic;
256
    wdat_received : std_ulogic;
257
  end record;
258
  signal ctrl : ctrl_t;
259
 
260
  signal ack_read, ack_write : std_ulogic; -- normal transfer termination
261
  signal err_read, err_write : std_ulogic; -- error transfer termination
262
 
263
begin
264
 
265
  -- Sanity Checks --------------------------------------------------------------------------
266
  -- -------------------------------------------------------------------------------------------
267
  assert not (CPU_EXTENSION_RISCV_A = true) report "NEORV32 PROCESSOR CONFIG WARNING: AXI4-Lite provides NO support for atomic memory operations. LR/SC access via AXI will raise a bus exception." severity warning;
268
 
269
 
270
  -- The Core Of The Problem ----------------------------------------------------------------
271
  -- -------------------------------------------------------------------------------------------
272
  neorv32_top_inst: neorv32_top
273
  generic map (
274
    -- General --
275
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,    -- clock frequency of clk_i in Hz
276
    INT_BOOTLOADER_EN            => INT_BOOTLOADER_EN,  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
277
    HW_THREAD_ID                 => HW_THREAD_ID,       -- hardware thread id (hartid)
278
    -- On-Chip Debugger (OCD) --
279
    ON_CHIP_DEBUGGER_EN          => ON_CHIP_DEBUGGER_EN,          -- implement on-chip debugger
280
    -- RISC-V CPU Extensions --
281
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
282
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
283
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
284
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
285
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
286
    CPU_EXTENSION_RISCV_Zbb      => CPU_EXTENSION_RISCV_Zbb,      -- implement basic bit-manipulation sub-extension?
287
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
288
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
289
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
290
    -- Extension Options --
291
    FAST_MUL_EN                  => FAST_MUL_EN,        -- use DSPs for M extension's multiplier
292
    FAST_SHIFT_EN                => FAST_SHIFT_EN,      -- use barrel shifter for shift operations
293
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,      -- total width of CPU cycle and instret counters (0..64)
294
    -- Physical Memory Protection (PMP) --
295
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,    -- number of regions (0..64)
296
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
297
    -- Hardware Performance Monitors (HPM) --
298
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,       -- number of implemented HPM counters (0..29)
299
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH,      -- total size of HPM counters (0..64)
300
    -- Internal Instruction memory --
301
    MEM_INT_IMEM_EN              => MEM_INT_IMEM_EN,    -- implement processor-internal instruction memory
302
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
303
    -- Internal Data memory --
304
    MEM_INT_DMEM_EN              => MEM_INT_DMEM_EN,    -- implement processor-internal data memory
305
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE,  -- size of processor-internal data memory in bytes
306
    -- Internal Cache memory --
307
    ICACHE_EN                    => ICACHE_EN,          -- implement instruction cache
308
    ICACHE_NUM_BLOCKS            => ICACHE_NUM_BLOCKS,  -- i-cache: number of blocks (min 1), has to be a power of 2
309
    ICACHE_BLOCK_SIZE            => ICACHE_BLOCK_SIZE,  -- i-cache: block size in bytes (min 4), has to be a power of 2
310
    ICACHE_ASSOCIATIVITY         => ICACHE_ASSOCIATIVITY, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
311
    -- External memory interface --
312
    MEM_EXT_EN                   => true,               -- implement external memory bus interface?
313
    MEM_EXT_TIMEOUT              => 0,                  -- cycles after a pending bus access auto-terminates (0 = disabled)
314
    MEM_EXT_PIPE_MODE            => false,              -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
315
    MEM_EXT_BIG_ENDIAN           => false,              -- byte order: true=big-endian, false=little-endian
316
    MEM_EXT_ASYNC_RX             => false,              -- use register buffer for RX data when false
317
    -- External Interrupts Controller (XIRQ) --
318
    XIRQ_NUM_CH                  => XIRQ_NUM_CH, -- number of external IRQ channels (0..32)
319
    XIRQ_TRIGGER_TYPE            => XIRQ_TRIGGER_TYPE_INT, -- trigger type: 0=level, 1=edge
320
    XIRQ_TRIGGER_POLARITY        => XIRQ_TRIGGER_POLARITY_INT, -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
321
    -- Processor peripherals --
322
    IO_GPIO_EN                   => IO_GPIO_EN,         -- implement general purpose input/output port unit (GPIO)?
323
    IO_MTIME_EN                  => IO_MTIME_EN,        -- implement machine system timer (MTIME)?
324
    IO_UART0_EN                  => IO_UART0_EN,        -- implement primary universal asynchronous receiver/transmitter (UART0)?
325 65 zero_gravi
    IO_UART0_RX_FIFO             => IO_UART0_RX_FIFO,   -- RX fifo depth, has to be a power of two, min 1
326
    IO_UART0_TX_FIFO             => IO_UART0_TX_FIFO,   -- TX fifo depth, has to be a power of two, min 1
327 63 zero_gravi
    IO_UART1_EN                  => IO_UART1_EN,        -- implement secondary universal asynchronous receiver/transmitter (UART1)?
328 65 zero_gravi
    IO_UART1_RX_FIFO             => IO_UART1_RX_FIFO,   -- RX fifo depth, has to be a power of two, min 1
329
    IO_UART1_TX_FIFO             => IO_UART1_TX_FIFO,   -- TX fifo depth, has to be a power of two, min 1
330 63 zero_gravi
    IO_SPI_EN                    => IO_SPI_EN,          -- implement serial peripheral interface (SPI)?
331
    IO_TWI_EN                    => IO_TWI_EN,          -- implement two-wire interface (TWI)?
332
    IO_PWM_NUM_CH                => IO_PWM_NUM_CH,      -- number of PWM channels to implement (0..60); 0 = disabled
333
    IO_WDT_EN                    => IO_WDT_EN,          -- implement watch dog timer (WDT)?
334
    IO_TRNG_EN                   => IO_TRNG_EN,         -- implement true random number generator (TRNG)?
335
    IO_CFS_EN                    => IO_CFS_EN,          -- implement custom functions subsystem (CFS)?
336
    IO_CFS_CONFIG                => IO_CFS_CONFIG_INT,  -- custom CFS configuration generic
337
    IO_CFS_IN_SIZE               => IO_CFS_IN_SIZE,     -- size of CFS input conduit in bits
338
    IO_CFS_OUT_SIZE              => IO_CFS_OUT_SIZE,    -- size of CFS output conduit in bits
339 65 zero_gravi
    IO_NEOLED_EN                 => IO_NEOLED_EN,       -- implement NeoPixel-compatible smart LED interface (NEOLED)?
340
    IO_NEOLED_TX_FIFO            => IO_NEOLED_TX_FIFO   -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
341 63 zero_gravi
  )
342
  port map (
343
    -- Global control --
344
    clk_i       => clk_i_int,       -- global clock, rising edge
345
    rstn_i      => rstn_i_int,      -- global reset, low-active, async
346
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
347
    jtag_trst_i => jtag_trst_i_int, -- low-active TAP reset (optional)
348
    jtag_tck_i  => jtag_tck_i_int,  -- serial clock
349
    jtag_tdi_i  => jtag_tdi_i_int,  -- serial data input
350
    jtag_tdo_o  => jtag_tdo_o_int,  -- serial data output
351
    jtag_tms_i  => jtag_tms_i_int,  -- mode select
352
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
353
    wb_tag_o    => wb_core.tag,     -- tag
354
    wb_adr_o    => wb_core.adr,     -- address
355
    wb_dat_i    => wb_core.di,      -- read data
356
    wb_dat_o    => wb_core.do,      -- write data
357
    wb_we_o     => wb_core.we,      -- read/write
358
    wb_sel_o    => wb_core.sel,     -- byte enable
359
    wb_stb_o    => wb_core.stb,     -- strobe
360
    wb_cyc_o    => wb_core.cyc,     -- valid cycle
361
    wb_lock_o   => wb_core.lock,    -- exclusive access request
362
    wb_ack_i    => wb_core.ack,     -- transfer acknowledge
363
    wb_err_i    => wb_core.err,     -- transfer error
364
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
365
    fence_o     => open,            -- indicates an executed FENCE operation
366
    fencei_o    => open,            -- indicates an executed FENCEI operation
367
    -- GPIO (available if IO_GPIO_EN = true) --
368
    gpio_o      => gpio_o_int,      -- parallel output
369
    gpio_i      => gpio_i_int,      -- parallel input
370
    -- primary UART0 (available if IO_UART0_EN = true) --
371
    uart0_txd_o => uart0_txd_o_int, -- UART0 send data
372
    uart0_rxd_i => uart0_rxd_i_int, -- UART0 receive data
373
    uart0_rts_o => uart0_rts_o_int, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
374
    uart0_cts_i => uart0_cts_i_int, -- hw flow control: UART0.TX allowed to transmit, low-active, optional
375
    -- secondary UART1 (available if IO_UART1_EN = true) --
376
    uart1_txd_o => uart1_txd_o_int, -- UART1 send data
377
    uart1_rxd_i => uart1_rxd_i_int, -- UART1 receive data
378
    uart1_rts_o => uart1_rts_o_int, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
379
    uart1_cts_i => uart1_cts_i_int, -- hw flow control: UART1.TX allowed to transmit, low-active, optional
380
    -- SPI (available if IO_SPI_EN = true) --
381
    spi_sck_o   => spi_sck_o_int,   -- SPI serial clock
382
    spi_sdo_o   => spi_sdo_o_int,   -- controller data out, peripheral data in
383
    spi_sdi_i   => spi_sdi_i_int,   -- controller data in, peripheral data out
384
    spi_csn_o   => spi_csn_o_int,   -- SPI CS
385
    -- TWI (available if IO_TWI_EN = true) --
386
    twi_sda_io  => twi_sda_io,      -- twi serial data line
387
    twi_scl_io  => twi_scl_io,      -- twi serial clock line
388
    -- PWM available if IO_PWM_NUM_CH > 0) --
389
    pwm_o       => pwm_o_int,       -- pwm channels
390
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
391
    cfs_in_i    => cfs_in_i_int,    -- custom inputs
392
    cfs_out_o   => cfs_out_o_int,   -- custom outputs
393
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
394
    neoled_o    => neoled_o_int,    -- async serial data line
395
    -- System time --
396
    mtime_i     => (others => '0'), -- current system time from ext. MTIME (if IO_MTIME_EN = false)
397
    mtime_o     => open,            -- current system time from int. MTIME (if IO_MTIME_EN = true)
398
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
399
    xirq_i      => xirq_i_int,      -- IRQ channels
400
    -- CPU Interrupts --
401
    mtime_irq_i => '0',             -- machine timer interrupt, available if IO_MTIME_EN = false
402
    msw_irq_i   => msw_irq_i_int,   -- machine software interrupt
403
    mext_irq_i  => mext_irq_i_int   -- machine external interrupt
404
  );
405
 
406
  -- type conversion --
407
  gpio_o          <= std_logic_vector(gpio_o_int);
408
  gpio_i_int      <= std_ulogic_vector(gpio_i);
409
 
410
  jtag_trst_i_int <= std_ulogic(jtag_trst_i);
411
  jtag_tck_i_int  <= std_ulogic(jtag_tck_i);
412
  jtag_tdi_i_int  <= std_ulogic(jtag_tdi_i);
413
  jtag_tdo_o      <= std_logic(jtag_tdo_o_int);
414
  jtag_tms_i_int  <= std_ulogic(jtag_tms_i);
415
 
416
  uart0_txd_o     <= std_logic(uart0_txd_o_int);
417
  uart0_rxd_i_int <= std_ulogic(uart0_rxd_i);
418 65 zero_gravi
  uart0_rts_o     <= std_logic(uart0_rts_o_int);
419
  uart0_cts_i_int <= std_ulogic(uart0_cts_i);
420
  uart1_txd_o     <= std_logic(uart1_txd_o_int);
421
  uart1_rxd_i_int <= std_ulogic(uart1_rxd_i);
422
  uart1_rts_o     <= std_logic(uart1_rts_o_int);
423
  uart1_cts_i_int <= std_ulogic(uart1_cts_i);
424 63 zero_gravi
 
425
  spi_sck_o       <= std_logic(spi_sck_o_int);
426
  spi_sdo_o       <= std_logic(spi_sdo_o_int);
427
  spi_sdi_i_int   <= std_ulogic(spi_sdi_i);
428
  spi_csn_o       <= std_logic_vector(spi_csn_o_int);
429
 
430
  pwm_o           <= std_logic_vector(pwm_o_int);
431
 
432
  cfs_in_i_int    <= std_ulogic_vector(cfs_in_i);
433
  cfs_out_o       <= std_logic_vector(cfs_out_o_int);
434
 
435
  neoled_o        <= std_logic(neoled_o_int);
436
 
437 64 zero_gravi
  xirq_i_int      <= std_ulogic_vector(xirq_i);
438
 
439
  msw_irq_i_int   <= std_ulogic(msw_irq_i);
440 63 zero_gravi
  mext_irq_i_int  <= std_ulogic(mext_irq_i);
441
 
442
 
443
  -- Wishbone to AXI4-Lite Bridge -----------------------------------------------------------
444
  -- -------------------------------------------------------------------------------------------
445
 
446
  -- access arbiter --
447
  axi_access_arbiter: process(rstn_i_int, clk_i_int)
448
  begin
449
    if (rstn_i_int = '0') then
450
      ctrl.radr_received <= '0';
451
      ctrl.wadr_received <= '0';
452
      ctrl.wdat_received <= '0';
453
    elsif rising_edge(clk_i_int) then
454
      if (wb_core.cyc = '0') then -- idle
455
        ctrl.radr_received <= '0';
456
        ctrl.wadr_received <= '0';
457
        ctrl.wdat_received <= '0';
458
      else -- busy
459
        -- "read address received" flag --
460
        if (wb_core.we = '0') then -- pending READ
461
          if (m_axi_arready = '1') then -- read address received by interconnect?
462
            ctrl.radr_received <= '1';
463
          end if;
464
        end if;
465
        -- "write address received" flag --
466
        if (wb_core.we = '1') then -- pending WRITE
467
          if (m_axi_awready = '1') then -- write address received by interconnect?
468
            ctrl.wadr_received <= '1';
469
          end if;
470
        end if;
471
        -- "write data received" flag --
472
        if (wb_core.we = '1') then -- pending WRITE
473
          if (m_axi_wready = '1') then -- write data received by interconnect?
474
            ctrl.wdat_received <= '1';
475
          end if;
476
        end if;
477
      end if;
478
    end if;
479
  end process axi_access_arbiter;
480
 
481
 
482
  -- AXI4-Lite Global Signals --
483
  clk_i_int     <= std_ulogic(m_axi_aclk);
484
  rstn_i_int    <= std_ulogic(m_axi_aresetn);
485
 
486
 
487
  -- AXI4-Lite Read Address Channel --
488
  m_axi_araddr  <= std_logic_vector(wb_core.adr);
489
  m_axi_arvalid <= std_logic((wb_core.cyc and (not wb_core.we)) and (not ctrl.radr_received));
490
--m_axi_arprot  <= "000"; -- recommended by Xilinx
491
  m_axi_arprot(0) <= wb_core.tag(0); -- 0:unprivileged access, 1:privileged access
492
  m_axi_arprot(1) <= wb_core.tag(1); -- 0:secure access, 1:non-secure access
493
  m_axi_arprot(2) <= wb_core.tag(2); -- 0:data access, 1:instruction access
494
 
495
  -- AXI4-Lite Read Data Channel --
496
  m_axi_rready  <= std_logic(wb_core.cyc and (not wb_core.we));
497
  wb_core.di    <= std_ulogic_vector(m_axi_rdata);
498
  ack_read      <= std_ulogic(m_axi_rvalid);
499
  err_read      <= '0' when (m_axi_rresp = "00") else '1'; -- read response = ok? check this signal only when m_axi_rvalid = '1'
500
 
501
 
502
  -- AXI4-Lite Write Address Channel --
503
  m_axi_awaddr  <= std_logic_vector(wb_core.adr);
504
  m_axi_awvalid <= std_logic((wb_core.cyc and wb_core.we) and (not ctrl.wadr_received));
505
--m_axi_awprot  <= "000"; -- recommended by Xilinx
506
  m_axi_awprot(0) <= wb_core.tag(0); -- 0:unprivileged access, 1:privileged access
507
  m_axi_awprot(1) <= wb_core.tag(1); -- 0:secure access, 1:non-secure access
508
  m_axi_awprot(2) <= wb_core.tag(2); -- 0:data access, 1:instruction access
509
 
510
  -- AXI4-Lite Write Data Channel --
511
  m_axi_wdata   <= std_logic_vector(wb_core.do);
512
  m_axi_wvalid  <= std_logic((wb_core.cyc and wb_core.we) and (not ctrl.wdat_received));
513
  m_axi_wstrb   <= std_logic_vector(wb_core.sel); -- byte-enable
514
 
515
  -- AXI4-Lite Write Response Channel --
516
  m_axi_bready  <= std_logic(wb_core.cyc and wb_core.we);
517
  ack_write     <= std_ulogic(m_axi_bvalid);
518
  err_write     <= '0' when (m_axi_bresp = "00") else '1'; -- write response = ok? check this signal only when m_axi_bvalid = '1'
519
 
520
 
521
  -- Wishbone transfer termination --
522
  wb_core.ack   <= ack_read or ack_write;
523
  wb_core.err   <= (ack_read and err_read) or (ack_write and err_write) or wb_core.lock;
524
 
525
 
526
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.