OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sim/] [neorv32_tb.vhd] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2 36 zero_gravi
-- # << NEORV32 - Default Testbench >>                                                             #
3 2 zero_gravi
-- # ********************************************************************************************* #
4 3 zero_gravi
-- # This testbench provides a virtual UART receiver connected to the processor's uart_txd_o       #
5 36 zero_gravi
-- # signal. The received chars are shown in the simulator console and also written to a file      #
6 38 zero_gravi
-- # ("neorv32.testbench_uart.out").                                                               #
7
-- #                                                                                               #
8
-- # Furthermore, this testbench provides two external memories (ext_mem_a and ext_mem_b) coupled  #
9
-- # via Wishbone. ext_mem_a is initialized with the application_init_image and can be used as     #
10
-- # external boot memory (external IMEM).                                                         #
11
-- # ext_mem_b is a small uninitialized memory that can be uased as external memory-mapped IO.     #
12
-- #                                                                                               #
13
-- # Use the "User Configuration" section to configure the testbench according to your need.       #
14 3 zero_gravi
-- # ********************************************************************************************* #
15 2 zero_gravi
-- # BSD 3-Clause License                                                                          #
16
-- #                                                                                               #
17
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
18
-- #                                                                                               #
19
-- # Redistribution and use in source and binary forms, with or without modification, are          #
20
-- # permitted provided that the following conditions are met:                                     #
21
-- #                                                                                               #
22
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
23
-- #    conditions and the following disclaimer.                                                   #
24
-- #                                                                                               #
25
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
26
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
27
-- #    provided with the distribution.                                                            #
28
-- #                                                                                               #
29
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
30
-- #    endorse or promote products derived from this software without specific prior written      #
31
-- #    permission.                                                                                #
32
-- #                                                                                               #
33
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
34
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
35
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
36
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
37
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
38
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
39
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
40
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
41
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
42
-- # ********************************************************************************************* #
43
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
44
-- #################################################################################################
45
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
use ieee.numeric_std.all;
49
use ieee.math_real.all;
50
 
51
library neorv32;
52
use neorv32.neorv32_package.all;
53 30 zero_gravi
use neorv32.neorv32_application_image.all; -- this file is generated by the image generator
54 2 zero_gravi
use std.textio.all;
55
 
56
entity neorv32_tb is
57
end neorv32_tb;
58
 
59
architecture neorv32_tb_rtl of neorv32_tb is
60
 
61
  -- User Configuration ---------------------------------------------------------------------
62
  -- -------------------------------------------------------------------------------------------
63 38 zero_gravi
  -- general --
64 39 zero_gravi
  constant ext_imem_c            : boolean := false; -- false: use and boot from proc-internal IMEM, true: use and boot from external (initialized) simulated IMEM (ext. mem A)
65
  constant ext_dmem_c            : boolean := false; -- false: use proc-internal DMEM, true: use external simulated DMEM (ext. mem B)
66 38 zero_gravi
  constant imem_size_c           : natural := 16*1024; -- size in bytes of processor-internal IMEM / external mem A
67 39 zero_gravi
  constant dmem_size_c           : natural := 8*1024; -- size in bytes of processor-internal DMEM / external mem B
68 38 zero_gravi
  constant f_clock_c             : natural := 100000000; -- main clock in Hz
69 39 zero_gravi
  constant baud_rate_c           : natural := 19200; -- simulation UART output baudrate
70 38 zero_gravi
  -- simulated external Wishbone memory A (can be used as external IMEM) --
71 39 zero_gravi
  constant ext_mem_a_base_addr_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- wishbone memory base address (external IMEM base)
72 38 zero_gravi
  constant ext_mem_a_size_c      : natural := imem_size_c; -- wishbone memory size in bytes
73
  constant ext_mem_a_latency_c   : natural := 8; -- latency in clock cycles (min 1, max 255), plus 1 cycle initiali delay
74 39 zero_gravi
  -- simulated external Wishbone memory B (can be used as external DMEM) --
75
  constant ext_mem_b_base_addr_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- wishbone memory base address (external DMEM base)
76
  constant ext_mem_b_size_c      : natural := dmem_size_c; -- wishbone memory size in bytes
77
  constant ext_mem_b_latency_c   : natural := 8; -- latency in clock cycles (min 1, max 255), plus 1 cycle initiali delay
78
  -- simulated external Wishbone memory C (can be used as external IO) --
79
  constant ext_mem_c_base_addr_c : std_ulogic_vector(31 downto 0) := x"F0000000"; -- wishbone memory base address (default begin of EXTERNAL IO area)
80
  constant ext_mem_c_size_c      : natural := 64; -- wishbone memory size in bytes
81
  constant ext_mem_c_latency_c   : natural := 3; -- latency in clock cycles (min 1, max 255), plus 1 cycle initiali delay
82 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
83
 
84 38 zero_gravi
  -- internals - hands off! --
85 39 zero_gravi
  constant int_imem_c : boolean := not ext_imem_c;
86
  constant int_dmem_c : boolean := not ext_dmem_c;
87
  constant baud_val_c : real := real(f_clock_c) / real(baud_rate_c);
88
  constant t_clock_c  : time := (1 sec) / f_clock_c;
89 38 zero_gravi
 
90 3 zero_gravi
  -- text.io --
91
  file file_uart_tx_out : text open write_mode is "neorv32.testbench_uart.out";
92 2 zero_gravi
 
93
  -- generators --
94
  signal clk_gen, rst_gen : std_ulogic := '0';
95
 
96
  -- simulation uart receiver --
97
  signal uart_txd         : std_ulogic;
98
  signal uart_rx_sync     : std_ulogic_vector(04 downto 0) := (others => '1');
99
  signal uart_rx_busy     : std_ulogic := '0';
100
  signal uart_rx_sreg     : std_ulogic_vector(08 downto 0) := (others => '0');
101
  signal uart_rx_baud_cnt : real;
102
  signal uart_rx_bitcnt   : natural;
103
 
104
  -- gpio --
105 22 zero_gravi
  signal gpio : std_ulogic_vector(31 downto 0);
106 2 zero_gravi
 
107
  -- twi --
108
  signal twi_scl, twi_sda : std_logic;
109
 
110
  -- spi --
111
  signal spi_data : std_logic;
112
 
113
  -- Wishbone bus --
114
  type wishbone_t is record
115
    addr  : std_ulogic_vector(31 downto 0); -- address
116
    wdata : std_ulogic_vector(31 downto 0); -- master write data
117
    rdata : std_ulogic_vector(31 downto 0); -- master read data
118
    we    : std_ulogic; -- write enable
119
    sel   : std_ulogic_vector(03 downto 0); -- byte enable
120
    stb   : std_ulogic; -- strobe
121
    cyc   : std_ulogic; -- valid cycle
122
    ack   : std_ulogic; -- transfer acknowledge
123
    err   : std_ulogic; -- transfer error
124 36 zero_gravi
    tag   : std_ulogic_vector(2 downto 0); -- tag
125 39 zero_gravi
    lock  : std_ulogic; -- locked/exclusive bus access
126 2 zero_gravi
  end record;
127 39 zero_gravi
  signal wb_cpu, wb_mem_a, wb_mem_b, wb_mem_c : wishbone_t;
128 2 zero_gravi
 
129 38 zero_gravi
  -- Wishbone memories --
130
  type ext_mem_a_ram_t is array (0 to ext_mem_a_size_c/4-1) of std_ulogic_vector(31 downto 0);
131
  type ext_mem_b_ram_t is array (0 to ext_mem_b_size_c/4-1) of std_ulogic_vector(31 downto 0);
132 39 zero_gravi
  type ext_mem_c_ram_t is array (0 to ext_mem_c_size_c/4-1) of std_ulogic_vector(31 downto 0);
133 38 zero_gravi
  type ext_mem_read_latency_t is array (0 to 255) of std_ulogic_vector(31 downto 0);
134 30 zero_gravi
 
135
  -- init function --
136
  -- impure function: returns NOT the same result every time it is evaluated with the same arguments since the source file might have changed
137 38 zero_gravi
  impure function init_wbmem(init : application_init_image_t) return ext_mem_a_ram_t is
138
    variable mem_v : ext_mem_a_ram_t;
139 30 zero_gravi
  begin
140
    mem_v := (others => (others => '0'));
141
    for i in 0 to init'length-1 loop -- init only in range of source data array
142
        mem_v(i) := init(i);
143
    end loop; -- i
144
    return mem_v;
145
  end function init_wbmem;
146
 
147 38 zero_gravi
  -- external memory components --
148 39 zero_gravi
  signal ext_ram_a : ext_mem_a_ram_t := init_wbmem(application_init_image); -- initialized, used to simulate external IMEM
149
  signal ext_ram_b : ext_mem_b_ram_t := (others => (others => '0')); -- zero, used to simulate external DMEM
150
  signal ext_ram_c : ext_mem_c_ram_t; -- uninitialized, used to simulate external IO
151 30 zero_gravi
 
152 38 zero_gravi
  type ext_mem_t is record
153
    rdata  : ext_mem_read_latency_t;
154 23 zero_gravi
    acc_en : std_ulogic;
155 38 zero_gravi
    ack    : std_ulogic_vector(ext_mem_a_latency_c-1 downto 0);
156 23 zero_gravi
  end record;
157 39 zero_gravi
  signal ext_mem_a, ext_mem_b, ext_mem_c : ext_mem_t;
158 2 zero_gravi
 
159
begin
160
 
161
  -- Clock/Reset Generator ------------------------------------------------------------------
162
  -- -------------------------------------------------------------------------------------------
163
  clk_gen <= not clk_gen after (t_clock_c/2);
164
  rst_gen <= '0', '1' after 60*(t_clock_c/2);
165
 
166
 
167
  -- CPU Core -------------------------------------------------------------------------------
168
  -- -------------------------------------------------------------------------------------------
169
  neorv32_top_inst: neorv32_top
170
  generic map (
171
    -- General --
172 38 zero_gravi
    CLOCK_FREQUENCY              => f_clock_c,     -- clock frequency of clk_i in Hz
173 8 zero_gravi
    BOOTLOADER_USE               => false,         -- implement processor-internal bootloader?
174 36 zero_gravi
    USER_CODE                    => x"12345678",   -- custom user code
175
    HW_THREAD_ID                 => x"00000000",   -- hardware thread id (hartid)
176 2 zero_gravi
    -- RISC-V CPU Extensions --
177 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => true,          -- implement atomic extension?
178 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => true,          -- implement compressed extension?
179
    CPU_EXTENSION_RISCV_E        => false,         -- implement embedded RF extension?
180
    CPU_EXTENSION_RISCV_M        => true,          -- implement muld/div extension?
181 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => true,          -- implement user mode extension?
182 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => true,          -- implement CSR system?
183
    CPU_EXTENSION_RISCV_Zifencei => true,          -- implement instruction stream sync.?
184 19 zero_gravi
    -- Extension Options --
185
    FAST_MUL_EN                  => false,         -- use DSPs for M extension's multiplier
186 34 zero_gravi
    FAST_SHIFT_EN                => false,         -- use barrel shifter for shift operations
187 15 zero_gravi
    -- Physical Memory Protection (PMP) --
188
    PMP_USE                      => true,          -- implement PMP?
189
    PMP_NUM_REGIONS              => 4,             -- number of regions (max 16)
190 16 zero_gravi
    PMP_GRANULARITY              => 14,            -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
191 23 zero_gravi
    -- Internal Instruction memory --
192 39 zero_gravi
    MEM_INT_IMEM_USE             => int_imem_c ,   -- implement processor-internal instruction memory
193 38 zero_gravi
    MEM_INT_IMEM_SIZE            => imem_size_c,   -- size of processor-internal instruction memory in bytes
194 8 zero_gravi
    MEM_INT_IMEM_ROM             => false,         -- implement processor-internal instruction memory as ROM
195 23 zero_gravi
    -- Internal Data memory --
196 39 zero_gravi
    MEM_INT_DMEM_USE             => int_dmem_c,    -- implement processor-internal data memory
197
    MEM_INT_DMEM_SIZE            => dmem_size_c,   -- size of processor-internal data memory in bytes
198 23 zero_gravi
    -- External memory interface --
199 8 zero_gravi
    MEM_EXT_USE                  => true,          -- implement external memory bus interface?
200 2 zero_gravi
    -- Processor peripherals --
201 8 zero_gravi
    IO_GPIO_USE                  => true,          -- implement general purpose input/output port unit (GPIO)?
202
    IO_MTIME_USE                 => true,          -- implement machine system timer (MTIME)?
203
    IO_UART_USE                  => true,          -- implement universal asynchronous receiver/transmitter (UART)?
204
    IO_SPI_USE                   => true,          -- implement serial peripheral interface (SPI)?
205
    IO_TWI_USE                   => true,          -- implement two-wire interface (TWI)?
206
    IO_PWM_USE                   => true,          -- implement pulse-width modulation unit (PWM)?
207
    IO_WDT_USE                   => true,          -- implement watch dog timer (WDT)?
208 39 zero_gravi
    IO_TRNG_USE                  => false,         -- trng cannot be simulated
209 34 zero_gravi
    IO_CFU0_USE                  => true,          -- implement custom functions unit 0 (CFU0)?
210
    IO_CFU1_USE                  => true           -- implement custom functions unit 1 (CFU1)?
211 2 zero_gravi
  )
212
  port map (
213
    -- Global control --
214 34 zero_gravi
    clk_i       => clk_gen,         -- global clock, rising edge
215
    rstn_i      => rst_gen,         -- global reset, low-active, async
216 2 zero_gravi
    -- Wishbone bus interface --
217 36 zero_gravi
    wb_tag_o    => wb_cpu.tag,      -- tag
218 34 zero_gravi
    wb_adr_o    => wb_cpu.addr,     -- address
219
    wb_dat_i    => wb_cpu.rdata,    -- read data
220
    wb_dat_o    => wb_cpu.wdata,    -- write data
221
    wb_we_o     => wb_cpu.we,       -- read/write
222
    wb_sel_o    => wb_cpu.sel,      -- byte enable
223
    wb_stb_o    => wb_cpu.stb,      -- strobe
224
    wb_cyc_o    => wb_cpu.cyc,      -- valid cycle
225 39 zero_gravi
    wb_lock_o   => wb_cpu.lock,     -- locked/exclusive bus access
226 34 zero_gravi
    wb_ack_i    => wb_cpu.ack,      -- transfer acknowledge
227
    wb_err_i    => wb_cpu.err,      -- transfer error
228 12 zero_gravi
    -- Advanced memory control signals --
229 34 zero_gravi
    fence_o     => open,            -- indicates an executed FENCE operation
230
    fencei_o    => open,            -- indicates an executed FENCEI operation
231 2 zero_gravi
    -- GPIO --
232 34 zero_gravi
    gpio_o      => gpio,            -- parallel output
233
    gpio_i      => gpio,            -- parallel input
234 2 zero_gravi
    -- UART --
235 34 zero_gravi
    uart_txd_o  => uart_txd,        -- UART send data
236
    uart_rxd_i  => uart_txd,        -- UART receive data
237 2 zero_gravi
    -- SPI --
238 34 zero_gravi
    spi_sck_o   => open,            -- SPI serial clock
239
    spi_sdo_o   => spi_data,        -- controller data out, peripheral data in
240
    spi_sdi_i   => spi_data,        -- controller data in, peripheral data out
241
    spi_csn_o   => open,            -- SPI CS
242 2 zero_gravi
    -- TWI --
243 34 zero_gravi
    twi_sda_io  => twi_sda,         -- twi serial data line
244
    twi_scl_io  => twi_scl,         -- twi serial clock line
245 2 zero_gravi
    -- PWM --
246 34 zero_gravi
    pwm_o       => open,            -- pwm channels
247 2 zero_gravi
    -- Interrupts --
248 34 zero_gravi
    mtime_irq_i => '0',             -- machine software interrupt, available if IO_MTIME_USE = false
249
    msw_irq_i   => '0',             -- machine software interrupt
250
    mext_irq_i  => '0'              -- machine external interrupt
251 2 zero_gravi
  );
252
 
253 36 zero_gravi
  -- TWI termination (pull-ups) --
254 2 zero_gravi
  twi_scl <= 'H';
255
  twi_sda <= 'H';
256
 
257
 
258
  -- Console UART Receiver ------------------------------------------------------------------
259
  -- -------------------------------------------------------------------------------------------
260
  uart_rx_console: process(clk_gen)
261 3 zero_gravi
    variable i : integer;
262
    variable l : line;
263 2 zero_gravi
  begin
264
    -- "UART" --
265
    if rising_edge(clk_gen) then
266
      -- synchronizer --
267
      uart_rx_sync <= uart_rx_sync(3 downto 0) & uart_txd;
268
      -- arbiter --
269
      if (uart_rx_busy = '0') then -- idle
270
        uart_rx_busy     <= '0';
271
        uart_rx_baud_cnt <= round(0.5 * baud_val_c);
272
        uart_rx_bitcnt   <= 9;
273
        if (uart_rx_sync(4 downto 1) = "1100") then -- start bit? (falling edge)
274
          uart_rx_busy <= '1';
275
        end if;
276
      else
277 38 zero_gravi
        if (uart_rx_baud_cnt <= 0.0) then
278 2 zero_gravi
          if (uart_rx_bitcnt = 1) then
279
            uart_rx_baud_cnt <= round(0.5 * baud_val_c);
280
          else
281
            uart_rx_baud_cnt <= round(baud_val_c);
282
          end if;
283
          if (uart_rx_bitcnt = 0) then
284
            uart_rx_busy <= '0'; -- done
285
            i := to_integer(unsigned(uart_rx_sreg(8 downto 1)));
286
 
287 3 zero_gravi
            if (i < 32) or (i > 32+95) then -- printable char?
288 33 zero_gravi
              report "NEORV32_TB_UART.TX: (" & integer'image(i) & ")"; -- print code
289 2 zero_gravi
            else
290 33 zero_gravi
              report "NEORV32_TB_UART.TX: " & character'val(i); -- print ASCII
291 2 zero_gravi
            end if;
292
 
293
            if (i = 10) then -- Linux line break
294 3 zero_gravi
              writeline(file_uart_tx_out, l);
295 2 zero_gravi
            elsif (i /= 13) then -- Remove additional carriage return
296 3 zero_gravi
              write(l, character'val(i));
297 2 zero_gravi
            end if;
298
          else
299
            uart_rx_sreg   <= uart_rx_sync(4) & uart_rx_sreg(8 downto 1);
300
            uart_rx_bitcnt <= uart_rx_bitcnt - 1;
301
          end if;
302
        else
303
          uart_rx_baud_cnt <= uart_rx_baud_cnt - 1.0;
304
        end if;
305
      end if;
306
    end if;
307
  end process uart_rx_console;
308
 
309
 
310 38 zero_gravi
  -- Wishbone Fabric ------------------------------------------------------------------------
311 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
312 38 zero_gravi
  -- CPU broadcast signals --
313
  wb_mem_a.addr  <= wb_cpu.addr;
314 39 zero_gravi
  wb_mem_a.wdata <= wb_cpu.wdata;
315
  wb_mem_a.we    <= wb_cpu.we;
316
  wb_mem_a.sel   <= wb_cpu.sel;
317
  wb_mem_a.tag   <= wb_cpu.tag;
318
  wb_mem_a.cyc   <= wb_cpu.cyc;
319
  wb_mem_a.lock  <= wb_cpu.lock;
320
 
321 38 zero_gravi
  wb_mem_b.addr  <= wb_cpu.addr;
322
  wb_mem_b.wdata <= wb_cpu.wdata;
323
  wb_mem_b.we    <= wb_cpu.we;
324
  wb_mem_b.sel   <= wb_cpu.sel;
325
  wb_mem_b.tag   <= wb_cpu.tag;
326
  wb_mem_b.cyc   <= wb_cpu.cyc;
327 39 zero_gravi
  wb_mem_b.lock  <= wb_cpu.lock;
328
 
329
  wb_mem_c.addr  <= wb_cpu.addr;
330
  wb_mem_c.wdata <= wb_cpu.wdata;
331
  wb_mem_c.we    <= wb_cpu.we;
332
  wb_mem_c.sel   <= wb_cpu.sel;
333
  wb_mem_c.tag   <= wb_cpu.tag;
334
  wb_mem_c.cyc   <= wb_cpu.cyc;
335
  wb_mem_c.lock  <= wb_cpu.lock;
336
 
337 38 zero_gravi
  -- CPU read-back signals (no mux here since peripherals have "output gates") --
338 39 zero_gravi
  wb_cpu.rdata <= wb_mem_a.rdata or wb_mem_b.rdata or wb_mem_c.rdata;
339
  wb_cpu.ack   <= wb_mem_a.ack   or wb_mem_b.ack   or wb_mem_c.ack;
340
  wb_cpu.err   <= wb_mem_a.err   or wb_mem_b.err   or wb_mem_c.err;
341 38 zero_gravi
 
342
  -- peripheral select via STROBE signal --
343
  wb_mem_a.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_a_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_a_base_addr_c) + ext_mem_a_size_c)) else '0';
344
  wb_mem_b.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_b_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_b_base_addr_c) + ext_mem_b_size_c)) else '0';
345 39 zero_gravi
  wb_mem_c.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_c_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_c_base_addr_c) + ext_mem_c_size_c)) else '0';
346 38 zero_gravi
 
347
 
348 39 zero_gravi
  -- Wishbone Memory A (simulated external IMEM) --------------------------------------------
349 38 zero_gravi
  -- -------------------------------------------------------------------------------------------
350
  ext_mem_a_access: process(clk_gen)
351 23 zero_gravi
  begin
352
    if rising_edge(clk_gen) then
353
      -- control --
354 38 zero_gravi
      ext_mem_a.ack(0) <= wb_mem_a.cyc and wb_mem_a.stb; -- wishbone acknowledge
355
 
356 23 zero_gravi
      -- write access --
357 38 zero_gravi
      if ((wb_mem_a.cyc and wb_mem_a.stb and wb_mem_a.we) = '1') then -- valid write access
358 23 zero_gravi
        for i in 0 to 3 loop
359 38 zero_gravi
          if (wb_mem_a.sel(i) = '1') then
360
            ext_ram_a(to_integer(unsigned(wb_mem_a.addr(index_size_f(ext_mem_a_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) <= wb_mem_a.wdata(7+i*8 downto 0+i*8);
361 23 zero_gravi
          end if;
362
        end loop; -- i
363 2 zero_gravi
      end if;
364 38 zero_gravi
 
365 23 zero_gravi
      -- read access --
366 38 zero_gravi
      ext_mem_a.rdata(0) <= ext_ram_a(to_integer(unsigned(wb_mem_a.addr(index_size_f(ext_mem_a_size_c/4)+1 downto 2)))); -- word aligned
367 23 zero_gravi
      -- virtual read and ack latency --
368 38 zero_gravi
      if (ext_mem_a_latency_c > 1) then
369
        for i in 1 to ext_mem_a_latency_c-1 loop
370
          ext_mem_a.rdata(i) <= ext_mem_a.rdata(i-1);
371
          ext_mem_a.ack(i)   <= ext_mem_a.ack(i-1) and wb_mem_a.cyc;
372 23 zero_gravi
        end loop;
373
      end if;
374 38 zero_gravi
 
375
      -- bus output register --
376
      wb_mem_a.err <= '0';
377
      if (ext_mem_a.ack(ext_mem_a_latency_c-1) = '1') and (wb_mem_b.cyc = '1') then
378
        wb_mem_a.rdata <= ext_mem_a.rdata(ext_mem_a_latency_c-1);
379
        wb_mem_a.ack   <= '1';
380
      else
381
        wb_mem_a.rdata <= (others => '0');
382
        wb_mem_a.ack   <= '0';
383
      end if;
384 23 zero_gravi
    end if;
385 38 zero_gravi
  end process ext_mem_a_access;
386 2 zero_gravi
 
387
 
388 39 zero_gravi
  -- Wishbone Memory B (simulated external DMEM) --------------------------------------------
389 38 zero_gravi
  -- -------------------------------------------------------------------------------------------
390
  ext_mem_b_access: process(clk_gen)
391
  begin
392
    if rising_edge(clk_gen) then
393
      -- control --
394
      ext_mem_b.ack(0) <= wb_mem_b.cyc and wb_mem_b.stb; -- wishbone acknowledge
395 2 zero_gravi
 
396 38 zero_gravi
      -- write access --
397
      if ((wb_mem_b.cyc and wb_mem_b.stb and wb_mem_b.we) = '1') then -- valid write access
398
        for i in 0 to 3 loop
399
          if (wb_mem_b.sel(i) = '1') then
400
            ext_ram_b(to_integer(unsigned(wb_mem_b.addr(index_size_f(ext_mem_b_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) <= wb_mem_b.wdata(7+i*8 downto 0+i*8);
401
          end if;
402
        end loop; -- i
403
      end if;
404 3 zero_gravi
 
405 38 zero_gravi
      -- read access --
406
      ext_mem_b.rdata(0) <= ext_ram_b(to_integer(unsigned(wb_mem_b.addr(index_size_f(ext_mem_b_size_c/4)+1 downto 2)))); -- word aligned
407
      -- virtual read and ack latency --
408
      if (ext_mem_b_latency_c > 1) then
409
        for i in 1 to ext_mem_b_latency_c-1 loop
410
          ext_mem_b.rdata(i) <= ext_mem_b.rdata(i-1);
411
          ext_mem_b.ack(i)   <= ext_mem_b.ack(i-1) and wb_mem_b.cyc;
412
        end loop;
413
      end if;
414
 
415
      -- bus output register --
416
      wb_mem_b.err <= '0';
417
      if (ext_mem_b.ack(ext_mem_b_latency_c-1) = '1') and (wb_mem_b.cyc = '1') then
418
        wb_mem_b.rdata <= ext_mem_b.rdata(ext_mem_b_latency_c-1);
419
        wb_mem_b.ack   <= '1';
420
      else
421
        wb_mem_b.rdata <= (others => '0');
422
        wb_mem_b.ack   <= '0';
423
      end if;
424
    end if;
425
  end process ext_mem_b_access;
426
 
427
 
428 39 zero_gravi
  -- Wishbone Memory C (simulated external IO) ----------------------------------------------
429
  -- -------------------------------------------------------------------------------------------
430
  ext_mem_c_access: process(clk_gen)
431
  begin
432
    if rising_edge(clk_gen) then
433
      -- control --
434
      ext_mem_c.ack(0) <= wb_mem_c.cyc and wb_mem_c.stb; -- wishbone acknowledge
435
 
436
      -- write access --
437
      if ((wb_mem_c.cyc and wb_mem_c.stb and wb_mem_c.we) = '1') then -- valid write access
438
        for i in 0 to 3 loop
439
          if (wb_mem_c.sel(i) = '1') then
440
            ext_ram_c(to_integer(unsigned(wb_mem_c.addr(index_size_f(ext_mem_c_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) <= wb_mem_c.wdata(7+i*8 downto 0+i*8);
441
          end if;
442
        end loop; -- i
443
      end if;
444
 
445
      -- read access --
446
      ext_mem_c.rdata(0) <= ext_ram_c(to_integer(unsigned(wb_mem_c.addr(index_size_f(ext_mem_c_size_c/4)+1 downto 2)))); -- word aligned
447
      -- virtual read and ack latency --
448
      if (ext_mem_c_latency_c > 1) then
449
        for i in 1 to ext_mem_c_latency_c-1 loop
450
          ext_mem_c.rdata(i) <= ext_mem_c.rdata(i-1);
451
          ext_mem_c.ack(i)   <= ext_mem_c.ack(i-1) and wb_mem_c.cyc;
452
        end loop;
453
      end if;
454
 
455
      -- error to simulate interrupted LOCKED/EXCLUSIVE bus access --
456
      wb_mem_c.err <= wb_mem_c.cyc and wb_mem_c.stb and wb_mem_c.lock and wb_mem_c.addr(2); -- locked access to odd word-addresses will fail
457
 
458
      -- bus output register --
459
      if (ext_mem_c.ack(ext_mem_c_latency_c-1) = '1') and (wb_mem_c.cyc = '1') then
460
        wb_mem_c.rdata <= ext_mem_c.rdata(ext_mem_c_latency_c-1);
461
        wb_mem_c.ack   <= '1';
462
      else
463
        wb_mem_c.rdata <= (others => '0');
464
        wb_mem_c.ack   <= '0';
465
      end if;
466
    end if;
467
  end process ext_mem_c_access;
468
 
469
 
470 2 zero_gravi
end neorv32_tb_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.