OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sim/] [neorv32_tb.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2 36 zero_gravi
-- # << NEORV32 - Default Testbench >>                                                             #
3 2 zero_gravi
-- # ********************************************************************************************* #
4 38 zero_gravi
-- # Use the "User Configuration" section to configure the testbench according to your need.       #
5 40 zero_gravi
-- # See NEORV32 data sheet (docs/NEORV32.pdf) for more information.                               #
6 3 zero_gravi
-- # ********************************************************************************************* #
7 2 zero_gravi
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
10
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
use ieee.math_real.all;
42
 
43
library neorv32;
44
use neorv32.neorv32_package.all;
45 30 zero_gravi
use neorv32.neorv32_application_image.all; -- this file is generated by the image generator
46 2 zero_gravi
use std.textio.all;
47
 
48
entity neorv32_tb is
49
end neorv32_tb;
50
 
51
architecture neorv32_tb_rtl of neorv32_tb is
52
 
53
  -- User Configuration ---------------------------------------------------------------------
54
  -- -------------------------------------------------------------------------------------------
55 38 zero_gravi
  -- general --
56 39 zero_gravi
  constant ext_imem_c            : boolean := false; -- false: use and boot from proc-internal IMEM, true: use and boot from external (initialized) simulated IMEM (ext. mem A)
57
  constant ext_dmem_c            : boolean := false; -- false: use proc-internal DMEM, true: use external simulated DMEM (ext. mem B)
58 38 zero_gravi
  constant imem_size_c           : natural := 16*1024; -- size in bytes of processor-internal IMEM / external mem A
59 39 zero_gravi
  constant dmem_size_c           : natural := 8*1024; -- size in bytes of processor-internal DMEM / external mem B
60 38 zero_gravi
  constant f_clock_c             : natural := 100000000; -- main clock in Hz
61 39 zero_gravi
  constant baud_rate_c           : natural := 19200; -- simulation UART output baudrate
62 38 zero_gravi
  -- simulated external Wishbone memory A (can be used as external IMEM) --
63 39 zero_gravi
  constant ext_mem_a_base_addr_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- wishbone memory base address (external IMEM base)
64 38 zero_gravi
  constant ext_mem_a_size_c      : natural := imem_size_c; -- wishbone memory size in bytes
65 40 zero_gravi
  constant ext_mem_a_latency_c   : natural := 8; -- latency in clock cycles (min 1, max 255), plus 1 cycle initial delay
66 39 zero_gravi
  -- simulated external Wishbone memory B (can be used as external DMEM) --
67
  constant ext_mem_b_base_addr_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- wishbone memory base address (external DMEM base)
68
  constant ext_mem_b_size_c      : natural := dmem_size_c; -- wishbone memory size in bytes
69 40 zero_gravi
  constant ext_mem_b_latency_c   : natural := 8; -- latency in clock cycles (min 1, max 255), plus 1 cycle initial delay
70 39 zero_gravi
  -- simulated external Wishbone memory C (can be used as external IO) --
71
  constant ext_mem_c_base_addr_c : std_ulogic_vector(31 downto 0) := x"F0000000"; -- wishbone memory base address (default begin of EXTERNAL IO area)
72
  constant ext_mem_c_size_c      : natural := 64; -- wishbone memory size in bytes
73 40 zero_gravi
  constant ext_mem_c_latency_c   : natural := 3; -- latency in clock cycles (min 1, max 255), plus 1 cycle initial delay
74
  -- machine interrupt triggers --
75
  constant msi_trigger_c         : std_ulogic_vector(31 downto 0) := x"FF000000"; -- machine software interrupt
76
  constant mei_trigger_c         : std_ulogic_vector(31 downto 0) := x"FF000004"; -- machine external interrupt
77 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
78
 
79 38 zero_gravi
  -- internals - hands off! --
80 39 zero_gravi
  constant int_imem_c : boolean := not ext_imem_c;
81
  constant int_dmem_c : boolean := not ext_dmem_c;
82
  constant baud_val_c : real := real(f_clock_c) / real(baud_rate_c);
83
  constant t_clock_c  : time := (1 sec) / f_clock_c;
84 38 zero_gravi
 
85 3 zero_gravi
  -- text.io --
86
  file file_uart_tx_out : text open write_mode is "neorv32.testbench_uart.out";
87 2 zero_gravi
 
88
  -- generators --
89
  signal clk_gen, rst_gen : std_ulogic := '0';
90
 
91
  -- simulation uart receiver --
92
  signal uart_txd         : std_ulogic;
93
  signal uart_rx_sync     : std_ulogic_vector(04 downto 0) := (others => '1');
94
  signal uart_rx_busy     : std_ulogic := '0';
95
  signal uart_rx_sreg     : std_ulogic_vector(08 downto 0) := (others => '0');
96
  signal uart_rx_baud_cnt : real;
97
  signal uart_rx_bitcnt   : natural;
98
 
99
  -- gpio --
100 22 zero_gravi
  signal gpio : std_ulogic_vector(31 downto 0);
101 2 zero_gravi
 
102
  -- twi --
103
  signal twi_scl, twi_sda : std_logic;
104
 
105
  -- spi --
106 40 zero_gravi
  signal spi_data : std_ulogic;
107 2 zero_gravi
 
108 40 zero_gravi
  -- irq --
109
  signal msi_ring, mei_ring : std_ulogic;
110
 
111 2 zero_gravi
  -- Wishbone bus --
112
  type wishbone_t is record
113
    addr  : std_ulogic_vector(31 downto 0); -- address
114
    wdata : std_ulogic_vector(31 downto 0); -- master write data
115
    rdata : std_ulogic_vector(31 downto 0); -- master read data
116
    we    : std_ulogic; -- write enable
117
    sel   : std_ulogic_vector(03 downto 0); -- byte enable
118
    stb   : std_ulogic; -- strobe
119
    cyc   : std_ulogic; -- valid cycle
120
    ack   : std_ulogic; -- transfer acknowledge
121
    err   : std_ulogic; -- transfer error
122 36 zero_gravi
    tag   : std_ulogic_vector(2 downto 0); -- tag
123 39 zero_gravi
    lock  : std_ulogic; -- locked/exclusive bus access
124 2 zero_gravi
  end record;
125 40 zero_gravi
  signal wb_cpu, wb_mem_a, wb_mem_b, wb_mem_c, wb_msi, wb_mei : wishbone_t;
126 2 zero_gravi
 
127 38 zero_gravi
  -- Wishbone memories --
128
  type ext_mem_a_ram_t is array (0 to ext_mem_a_size_c/4-1) of std_ulogic_vector(31 downto 0);
129
  type ext_mem_b_ram_t is array (0 to ext_mem_b_size_c/4-1) of std_ulogic_vector(31 downto 0);
130 39 zero_gravi
  type ext_mem_c_ram_t is array (0 to ext_mem_c_size_c/4-1) of std_ulogic_vector(31 downto 0);
131 38 zero_gravi
  type ext_mem_read_latency_t is array (0 to 255) of std_ulogic_vector(31 downto 0);
132 30 zero_gravi
 
133
  -- init function --
134
  -- impure function: returns NOT the same result every time it is evaluated with the same arguments since the source file might have changed
135 38 zero_gravi
  impure function init_wbmem(init : application_init_image_t) return ext_mem_a_ram_t is
136
    variable mem_v : ext_mem_a_ram_t;
137 30 zero_gravi
  begin
138
    mem_v := (others => (others => '0'));
139
    for i in 0 to init'length-1 loop -- init only in range of source data array
140 40 zero_gravi
      if (xbus_big_endian_c = true) then
141 30 zero_gravi
        mem_v(i) := init(i);
142 40 zero_gravi
      else
143
        mem_v(i) := bswap32_f(init(i));
144
      end if;
145 30 zero_gravi
    end loop; -- i
146
    return mem_v;
147
  end function init_wbmem;
148
 
149 38 zero_gravi
  -- external memory components --
150 39 zero_gravi
  signal ext_ram_a : ext_mem_a_ram_t := init_wbmem(application_init_image); -- initialized, used to simulate external IMEM
151
  signal ext_ram_b : ext_mem_b_ram_t := (others => (others => '0')); -- zero, used to simulate external DMEM
152
  signal ext_ram_c : ext_mem_c_ram_t; -- uninitialized, used to simulate external IO
153 30 zero_gravi
 
154 38 zero_gravi
  type ext_mem_t is record
155
    rdata  : ext_mem_read_latency_t;
156 23 zero_gravi
    acc_en : std_ulogic;
157 38 zero_gravi
    ack    : std_ulogic_vector(ext_mem_a_latency_c-1 downto 0);
158 23 zero_gravi
  end record;
159 39 zero_gravi
  signal ext_mem_a, ext_mem_b, ext_mem_c : ext_mem_t;
160 2 zero_gravi
 
161
begin
162
 
163
  -- Clock/Reset Generator ------------------------------------------------------------------
164
  -- -------------------------------------------------------------------------------------------
165
  clk_gen <= not clk_gen after (t_clock_c/2);
166
  rst_gen <= '0', '1' after 60*(t_clock_c/2);
167
 
168
 
169
  -- CPU Core -------------------------------------------------------------------------------
170
  -- -------------------------------------------------------------------------------------------
171
  neorv32_top_inst: neorv32_top
172
  generic map (
173
    -- General --
174 38 zero_gravi
    CLOCK_FREQUENCY              => f_clock_c,     -- clock frequency of clk_i in Hz
175 8 zero_gravi
    BOOTLOADER_USE               => false,         -- implement processor-internal bootloader?
176 36 zero_gravi
    USER_CODE                    => x"12345678",   -- custom user code
177
    HW_THREAD_ID                 => x"00000000",   -- hardware thread id (hartid)
178 2 zero_gravi
    -- RISC-V CPU Extensions --
179 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => true,          -- implement atomic extension?
180 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => true,          -- implement compressed extension?
181
    CPU_EXTENSION_RISCV_E        => false,         -- implement embedded RF extension?
182
    CPU_EXTENSION_RISCV_M        => true,          -- implement muld/div extension?
183 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => true,          -- implement user mode extension?
184 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => true,          -- implement CSR system?
185
    CPU_EXTENSION_RISCV_Zifencei => true,          -- implement instruction stream sync.?
186 19 zero_gravi
    -- Extension Options --
187
    FAST_MUL_EN                  => false,         -- use DSPs for M extension's multiplier
188 34 zero_gravi
    FAST_SHIFT_EN                => false,         -- use barrel shifter for shift operations
189 15 zero_gravi
    -- Physical Memory Protection (PMP) --
190
    PMP_USE                      => true,          -- implement PMP?
191 23 zero_gravi
    -- Internal Instruction memory --
192 39 zero_gravi
    MEM_INT_IMEM_USE             => int_imem_c ,   -- implement processor-internal instruction memory
193 38 zero_gravi
    MEM_INT_IMEM_SIZE            => imem_size_c,   -- size of processor-internal instruction memory in bytes
194 8 zero_gravi
    MEM_INT_IMEM_ROM             => false,         -- implement processor-internal instruction memory as ROM
195 23 zero_gravi
    -- Internal Data memory --
196 39 zero_gravi
    MEM_INT_DMEM_USE             => int_dmem_c,    -- implement processor-internal data memory
197
    MEM_INT_DMEM_SIZE            => dmem_size_c,   -- size of processor-internal data memory in bytes
198 23 zero_gravi
    -- External memory interface --
199 8 zero_gravi
    MEM_EXT_USE                  => true,          -- implement external memory bus interface?
200 2 zero_gravi
    -- Processor peripherals --
201 8 zero_gravi
    IO_GPIO_USE                  => true,          -- implement general purpose input/output port unit (GPIO)?
202
    IO_MTIME_USE                 => true,          -- implement machine system timer (MTIME)?
203
    IO_UART_USE                  => true,          -- implement universal asynchronous receiver/transmitter (UART)?
204
    IO_SPI_USE                   => true,          -- implement serial peripheral interface (SPI)?
205
    IO_TWI_USE                   => true,          -- implement two-wire interface (TWI)?
206
    IO_PWM_USE                   => true,          -- implement pulse-width modulation unit (PWM)?
207
    IO_WDT_USE                   => true,          -- implement watch dog timer (WDT)?
208 39 zero_gravi
    IO_TRNG_USE                  => false,         -- trng cannot be simulated
209 34 zero_gravi
    IO_CFU0_USE                  => true,          -- implement custom functions unit 0 (CFU0)?
210
    IO_CFU1_USE                  => true           -- implement custom functions unit 1 (CFU1)?
211 2 zero_gravi
  )
212
  port map (
213
    -- Global control --
214 34 zero_gravi
    clk_i       => clk_gen,         -- global clock, rising edge
215
    rstn_i      => rst_gen,         -- global reset, low-active, async
216 2 zero_gravi
    -- Wishbone bus interface --
217 36 zero_gravi
    wb_tag_o    => wb_cpu.tag,      -- tag
218 34 zero_gravi
    wb_adr_o    => wb_cpu.addr,     -- address
219
    wb_dat_i    => wb_cpu.rdata,    -- read data
220
    wb_dat_o    => wb_cpu.wdata,    -- write data
221
    wb_we_o     => wb_cpu.we,       -- read/write
222
    wb_sel_o    => wb_cpu.sel,      -- byte enable
223
    wb_stb_o    => wb_cpu.stb,      -- strobe
224
    wb_cyc_o    => wb_cpu.cyc,      -- valid cycle
225 39 zero_gravi
    wb_lock_o   => wb_cpu.lock,     -- locked/exclusive bus access
226 34 zero_gravi
    wb_ack_i    => wb_cpu.ack,      -- transfer acknowledge
227
    wb_err_i    => wb_cpu.err,      -- transfer error
228 12 zero_gravi
    -- Advanced memory control signals --
229 34 zero_gravi
    fence_o     => open,            -- indicates an executed FENCE operation
230
    fencei_o    => open,            -- indicates an executed FENCEI operation
231 2 zero_gravi
    -- GPIO --
232 34 zero_gravi
    gpio_o      => gpio,            -- parallel output
233
    gpio_i      => gpio,            -- parallel input
234 2 zero_gravi
    -- UART --
235 34 zero_gravi
    uart_txd_o  => uart_txd,        -- UART send data
236
    uart_rxd_i  => uart_txd,        -- UART receive data
237 2 zero_gravi
    -- SPI --
238 34 zero_gravi
    spi_sck_o   => open,            -- SPI serial clock
239
    spi_sdo_o   => spi_data,        -- controller data out, peripheral data in
240
    spi_sdi_i   => spi_data,        -- controller data in, peripheral data out
241
    spi_csn_o   => open,            -- SPI CS
242 2 zero_gravi
    -- TWI --
243 34 zero_gravi
    twi_sda_io  => twi_sda,         -- twi serial data line
244
    twi_scl_io  => twi_scl,         -- twi serial clock line
245 2 zero_gravi
    -- PWM --
246 34 zero_gravi
    pwm_o       => open,            -- pwm channels
247 40 zero_gravi
    -- system time input from external MTIME (available if IO_MTIME_USE = false) --
248
    mtime_i     => (others => '0'), -- current system time
249 2 zero_gravi
    -- Interrupts --
250 34 zero_gravi
    mtime_irq_i => '0',             -- machine software interrupt, available if IO_MTIME_USE = false
251 40 zero_gravi
    msw_irq_i   => msi_ring,        -- machine software interrupt
252
    mext_irq_i  => mei_ring         -- machine external interrupt
253 2 zero_gravi
  );
254
 
255 36 zero_gravi
  -- TWI termination (pull-ups) --
256 2 zero_gravi
  twi_scl <= 'H';
257
  twi_sda <= 'H';
258
 
259
 
260
  -- Console UART Receiver ------------------------------------------------------------------
261
  -- -------------------------------------------------------------------------------------------
262
  uart_rx_console: process(clk_gen)
263 3 zero_gravi
    variable i : integer;
264
    variable l : line;
265 2 zero_gravi
  begin
266
    -- "UART" --
267
    if rising_edge(clk_gen) then
268
      -- synchronizer --
269
      uart_rx_sync <= uart_rx_sync(3 downto 0) & uart_txd;
270
      -- arbiter --
271
      if (uart_rx_busy = '0') then -- idle
272
        uart_rx_busy     <= '0';
273
        uart_rx_baud_cnt <= round(0.5 * baud_val_c);
274
        uart_rx_bitcnt   <= 9;
275
        if (uart_rx_sync(4 downto 1) = "1100") then -- start bit? (falling edge)
276
          uart_rx_busy <= '1';
277
        end if;
278
      else
279 38 zero_gravi
        if (uart_rx_baud_cnt <= 0.0) then
280 2 zero_gravi
          if (uart_rx_bitcnt = 1) then
281
            uart_rx_baud_cnt <= round(0.5 * baud_val_c);
282
          else
283
            uart_rx_baud_cnt <= round(baud_val_c);
284
          end if;
285
          if (uart_rx_bitcnt = 0) then
286
            uart_rx_busy <= '0'; -- done
287
            i := to_integer(unsigned(uart_rx_sreg(8 downto 1)));
288
 
289 3 zero_gravi
            if (i < 32) or (i > 32+95) then -- printable char?
290 33 zero_gravi
              report "NEORV32_TB_UART.TX: (" & integer'image(i) & ")"; -- print code
291 2 zero_gravi
            else
292 33 zero_gravi
              report "NEORV32_TB_UART.TX: " & character'val(i); -- print ASCII
293 2 zero_gravi
            end if;
294
 
295
            if (i = 10) then -- Linux line break
296 3 zero_gravi
              writeline(file_uart_tx_out, l);
297 2 zero_gravi
            elsif (i /= 13) then -- Remove additional carriage return
298 3 zero_gravi
              write(l, character'val(i));
299 2 zero_gravi
            end if;
300
          else
301
            uart_rx_sreg   <= uart_rx_sync(4) & uart_rx_sreg(8 downto 1);
302
            uart_rx_bitcnt <= uart_rx_bitcnt - 1;
303
          end if;
304
        else
305
          uart_rx_baud_cnt <= uart_rx_baud_cnt - 1.0;
306
        end if;
307
      end if;
308
    end if;
309
  end process uart_rx_console;
310
 
311
 
312 38 zero_gravi
  -- Wishbone Fabric ------------------------------------------------------------------------
313 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
314 38 zero_gravi
  -- CPU broadcast signals --
315
  wb_mem_a.addr  <= wb_cpu.addr;
316 39 zero_gravi
  wb_mem_a.wdata <= wb_cpu.wdata;
317
  wb_mem_a.we    <= wb_cpu.we;
318
  wb_mem_a.sel   <= wb_cpu.sel;
319
  wb_mem_a.tag   <= wb_cpu.tag;
320
  wb_mem_a.cyc   <= wb_cpu.cyc;
321
  wb_mem_a.lock  <= wb_cpu.lock;
322
 
323 38 zero_gravi
  wb_mem_b.addr  <= wb_cpu.addr;
324
  wb_mem_b.wdata <= wb_cpu.wdata;
325
  wb_mem_b.we    <= wb_cpu.we;
326
  wb_mem_b.sel   <= wb_cpu.sel;
327
  wb_mem_b.tag   <= wb_cpu.tag;
328
  wb_mem_b.cyc   <= wb_cpu.cyc;
329 39 zero_gravi
  wb_mem_b.lock  <= wb_cpu.lock;
330
 
331
  wb_mem_c.addr  <= wb_cpu.addr;
332
  wb_mem_c.wdata <= wb_cpu.wdata;
333
  wb_mem_c.we    <= wb_cpu.we;
334
  wb_mem_c.sel   <= wb_cpu.sel;
335
  wb_mem_c.tag   <= wb_cpu.tag;
336
  wb_mem_c.cyc   <= wb_cpu.cyc;
337
  wb_mem_c.lock  <= wb_cpu.lock;
338
 
339 40 zero_gravi
  wb_msi.addr    <= wb_cpu.addr;
340
  wb_msi.wdata   <= wb_cpu.wdata;
341
  wb_msi.we      <= wb_cpu.we;
342
  wb_msi.sel     <= wb_cpu.sel;
343
  wb_msi.tag     <= wb_cpu.tag;
344
  wb_msi.cyc     <= wb_cpu.cyc;
345
  wb_msi.lock    <= wb_cpu.lock;
346
 
347
  wb_mei.addr    <= wb_cpu.addr;
348
  wb_mei.wdata   <= wb_cpu.wdata;
349
  wb_mei.we      <= wb_cpu.we;
350
  wb_mei.sel     <= wb_cpu.sel;
351
  wb_mei.tag     <= wb_cpu.tag;
352
  wb_mei.cyc     <= wb_cpu.cyc;
353
  wb_mei.lock    <= wb_cpu.lock;
354
 
355 38 zero_gravi
  -- CPU read-back signals (no mux here since peripherals have "output gates") --
356 40 zero_gravi
  wb_cpu.rdata <= wb_mem_a.rdata or wb_mem_b.rdata or wb_mem_c.rdata or wb_mei.rdata or wb_msi.rdata;
357
  wb_cpu.ack   <= wb_mem_a.ack   or wb_mem_b.ack   or wb_mem_c.ack   or wb_mei.ack   or wb_msi.ack;
358
  wb_cpu.err   <= wb_mem_a.err   or wb_mem_b.err   or wb_mem_c.err   or wb_mei.err   or wb_msi.err;
359 38 zero_gravi
 
360
  -- peripheral select via STROBE signal --
361
  wb_mem_a.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_a_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_a_base_addr_c) + ext_mem_a_size_c)) else '0';
362
  wb_mem_b.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_b_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_b_base_addr_c) + ext_mem_b_size_c)) else '0';
363 39 zero_gravi
  wb_mem_c.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_c_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_c_base_addr_c) + ext_mem_c_size_c)) else '0';
364 40 zero_gravi
  wb_msi.stb   <= wb_cpu.stb when (wb_cpu.addr = msi_trigger_c) else '0';
365
  wb_mei.stb   <= wb_cpu.stb when (wb_cpu.addr = mei_trigger_c) else '0';
366 38 zero_gravi
 
367
 
368 39 zero_gravi
  -- Wishbone Memory A (simulated external IMEM) --------------------------------------------
369 38 zero_gravi
  -- -------------------------------------------------------------------------------------------
370
  ext_mem_a_access: process(clk_gen)
371 23 zero_gravi
  begin
372
    if rising_edge(clk_gen) then
373
      -- control --
374 38 zero_gravi
      ext_mem_a.ack(0) <= wb_mem_a.cyc and wb_mem_a.stb; -- wishbone acknowledge
375
 
376 23 zero_gravi
      -- write access --
377 38 zero_gravi
      if ((wb_mem_a.cyc and wb_mem_a.stb and wb_mem_a.we) = '1') then -- valid write access
378 23 zero_gravi
        for i in 0 to 3 loop
379 38 zero_gravi
          if (wb_mem_a.sel(i) = '1') then
380
            ext_ram_a(to_integer(unsigned(wb_mem_a.addr(index_size_f(ext_mem_a_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) <= wb_mem_a.wdata(7+i*8 downto 0+i*8);
381 23 zero_gravi
          end if;
382
        end loop; -- i
383 2 zero_gravi
      end if;
384 38 zero_gravi
 
385 23 zero_gravi
      -- read access --
386 38 zero_gravi
      ext_mem_a.rdata(0) <= ext_ram_a(to_integer(unsigned(wb_mem_a.addr(index_size_f(ext_mem_a_size_c/4)+1 downto 2)))); -- word aligned
387 23 zero_gravi
      -- virtual read and ack latency --
388 38 zero_gravi
      if (ext_mem_a_latency_c > 1) then
389
        for i in 1 to ext_mem_a_latency_c-1 loop
390
          ext_mem_a.rdata(i) <= ext_mem_a.rdata(i-1);
391
          ext_mem_a.ack(i)   <= ext_mem_a.ack(i-1) and wb_mem_a.cyc;
392 23 zero_gravi
        end loop;
393
      end if;
394 38 zero_gravi
 
395
      -- bus output register --
396
      wb_mem_a.err <= '0';
397
      if (ext_mem_a.ack(ext_mem_a_latency_c-1) = '1') and (wb_mem_b.cyc = '1') then
398
        wb_mem_a.rdata <= ext_mem_a.rdata(ext_mem_a_latency_c-1);
399
        wb_mem_a.ack   <= '1';
400
      else
401
        wb_mem_a.rdata <= (others => '0');
402
        wb_mem_a.ack   <= '0';
403
      end if;
404 23 zero_gravi
    end if;
405 38 zero_gravi
  end process ext_mem_a_access;
406 2 zero_gravi
 
407
 
408 39 zero_gravi
  -- Wishbone Memory B (simulated external DMEM) --------------------------------------------
409 38 zero_gravi
  -- -------------------------------------------------------------------------------------------
410
  ext_mem_b_access: process(clk_gen)
411
  begin
412
    if rising_edge(clk_gen) then
413
      -- control --
414
      ext_mem_b.ack(0) <= wb_mem_b.cyc and wb_mem_b.stb; -- wishbone acknowledge
415 2 zero_gravi
 
416 38 zero_gravi
      -- write access --
417
      if ((wb_mem_b.cyc and wb_mem_b.stb and wb_mem_b.we) = '1') then -- valid write access
418
        for i in 0 to 3 loop
419
          if (wb_mem_b.sel(i) = '1') then
420
            ext_ram_b(to_integer(unsigned(wb_mem_b.addr(index_size_f(ext_mem_b_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) <= wb_mem_b.wdata(7+i*8 downto 0+i*8);
421
          end if;
422
        end loop; -- i
423
      end if;
424 3 zero_gravi
 
425 38 zero_gravi
      -- read access --
426
      ext_mem_b.rdata(0) <= ext_ram_b(to_integer(unsigned(wb_mem_b.addr(index_size_f(ext_mem_b_size_c/4)+1 downto 2)))); -- word aligned
427
      -- virtual read and ack latency --
428
      if (ext_mem_b_latency_c > 1) then
429
        for i in 1 to ext_mem_b_latency_c-1 loop
430
          ext_mem_b.rdata(i) <= ext_mem_b.rdata(i-1);
431
          ext_mem_b.ack(i)   <= ext_mem_b.ack(i-1) and wb_mem_b.cyc;
432
        end loop;
433
      end if;
434
 
435
      -- bus output register --
436
      wb_mem_b.err <= '0';
437
      if (ext_mem_b.ack(ext_mem_b_latency_c-1) = '1') and (wb_mem_b.cyc = '1') then
438
        wb_mem_b.rdata <= ext_mem_b.rdata(ext_mem_b_latency_c-1);
439
        wb_mem_b.ack   <= '1';
440
      else
441
        wb_mem_b.rdata <= (others => '0');
442
        wb_mem_b.ack   <= '0';
443
      end if;
444
    end if;
445
  end process ext_mem_b_access;
446
 
447
 
448 39 zero_gravi
  -- Wishbone Memory C (simulated external IO) ----------------------------------------------
449
  -- -------------------------------------------------------------------------------------------
450
  ext_mem_c_access: process(clk_gen)
451
  begin
452
    if rising_edge(clk_gen) then
453
      -- control --
454
      ext_mem_c.ack(0) <= wb_mem_c.cyc and wb_mem_c.stb; -- wishbone acknowledge
455
 
456
      -- write access --
457
      if ((wb_mem_c.cyc and wb_mem_c.stb and wb_mem_c.we) = '1') then -- valid write access
458
        for i in 0 to 3 loop
459
          if (wb_mem_c.sel(i) = '1') then
460
            ext_ram_c(to_integer(unsigned(wb_mem_c.addr(index_size_f(ext_mem_c_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) <= wb_mem_c.wdata(7+i*8 downto 0+i*8);
461
          end if;
462
        end loop; -- i
463
      end if;
464
 
465
      -- read access --
466
      ext_mem_c.rdata(0) <= ext_ram_c(to_integer(unsigned(wb_mem_c.addr(index_size_f(ext_mem_c_size_c/4)+1 downto 2)))); -- word aligned
467
      -- virtual read and ack latency --
468
      if (ext_mem_c_latency_c > 1) then
469
        for i in 1 to ext_mem_c_latency_c-1 loop
470
          ext_mem_c.rdata(i) <= ext_mem_c.rdata(i-1);
471
          ext_mem_c.ack(i)   <= ext_mem_c.ack(i-1) and wb_mem_c.cyc;
472
        end loop;
473
      end if;
474
 
475
      -- error to simulate interrupted LOCKED/EXCLUSIVE bus access --
476
      wb_mem_c.err <= wb_mem_c.cyc and wb_mem_c.stb and wb_mem_c.lock and wb_mem_c.addr(2); -- locked access to odd word-addresses will fail
477
 
478
      -- bus output register --
479
      if (ext_mem_c.ack(ext_mem_c_latency_c-1) = '1') and (wb_mem_c.cyc = '1') then
480
        wb_mem_c.rdata <= ext_mem_c.rdata(ext_mem_c_latency_c-1);
481
        wb_mem_c.ack   <= '1';
482
      else
483
        wb_mem_c.rdata <= (others => '0');
484
        wb_mem_c.ack   <= '0';
485
      end if;
486
    end if;
487
  end process ext_mem_c_access;
488
 
489
 
490 40 zero_gravi
  -- Wishbone IRQ Triggers ------------------------------------------------------------------
491
  -- -------------------------------------------------------------------------------------------
492
  ext_irq_trigger: process(clk_gen)
493
  begin
494
    if rising_edge(clk_gen) then
495
      -- default --
496
      msi_ring     <= '0';
497
      wb_msi.rdata <= (others => '0');
498
      wb_msi.ack   <= '0';
499
      wb_msi.err   <= '0';
500
      mei_ring     <= '0';
501
      wb_mei.rdata <= (others => '0');
502
      wb_mei.ack   <= '0';
503
      wb_mei.err   <= '0';
504
 
505
      -- machine software interrupt --
506
      if ((wb_msi.cyc and wb_msi.stb and wb_msi.we) = '1') then
507
        msi_ring   <= '1';
508
        wb_msi.ack <= '1';
509
      end if;
510
 
511
      -- machine external interrupt --
512
      if ((wb_mei.cyc and wb_mei.stb and wb_mei.we) = '1') then
513
        mei_ring   <= '1';
514
        wb_mei.ack <= '1';
515
      end if;
516
    end if;
517
  end process ext_irq_trigger;
518
 
519
 
520 2 zero_gravi
end neorv32_tb_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.