OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sim/] [neorv32_tb.vhd] - Blame information for rev 60

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2 59 zero_gravi
-- # << NEORV32 - Default Processor Testbench >>                                                   #
3 2 zero_gravi
-- # ********************************************************************************************* #
4 45 zero_gravi
-- # The processor is configured to use a maximum of functional units (for testing purpose).       #
5
-- # Use the "User Configuration" section to configure the testbench according to your needs.      #
6 59 zero_gravi
-- # See NEORV32 data sheet for more information.                                                  #
7 3 zero_gravi
-- # ********************************************************************************************* #
8 2 zero_gravi
-- # BSD 3-Clause License                                                                          #
9
-- #                                                                                               #
10 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
11 2 zero_gravi
-- #                                                                                               #
12
-- # Redistribution and use in source and binary forms, with or without modification, are          #
13
-- # permitted provided that the following conditions are met:                                     #
14
-- #                                                                                               #
15
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
16
-- #    conditions and the following disclaimer.                                                   #
17
-- #                                                                                               #
18
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
19
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
20
-- #    provided with the distribution.                                                            #
21
-- #                                                                                               #
22
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
23
-- #    endorse or promote products derived from this software without specific prior written      #
24
-- #    permission.                                                                                #
25
-- #                                                                                               #
26
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
27
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
28
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
29
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
30
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
31
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
32
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
33
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
34
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
35
-- # ********************************************************************************************* #
36
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
37
-- #################################################################################################
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.numeric_std.all;
42
use ieee.math_real.all;
43
 
44
library neorv32;
45
use neorv32.neorv32_package.all;
46 30 zero_gravi
use neorv32.neorv32_application_image.all; -- this file is generated by the image generator
47 2 zero_gravi
use std.textio.all;
48
 
49
entity neorv32_tb is
50
end neorv32_tb;
51
 
52
architecture neorv32_tb_rtl of neorv32_tb is
53
 
54
  -- User Configuration ---------------------------------------------------------------------
55
  -- -------------------------------------------------------------------------------------------
56 38 zero_gravi
  -- general --
57 39 zero_gravi
  constant ext_imem_c            : boolean := false; -- false: use and boot from proc-internal IMEM, true: use and boot from external (initialized) simulated IMEM (ext. mem A)
58
  constant ext_dmem_c            : boolean := false; -- false: use proc-internal DMEM, true: use external simulated DMEM (ext. mem B)
59 44 zero_gravi
  constant icache_en_c           : boolean := false; -- set true to use processor-internal instruction cache
60 38 zero_gravi
  constant imem_size_c           : natural := 16*1024; -- size in bytes of processor-internal IMEM / external mem A
61 39 zero_gravi
  constant dmem_size_c           : natural := 8*1024; -- size in bytes of processor-internal DMEM / external mem B
62 38 zero_gravi
  constant f_clock_c             : natural := 100000000; -- main clock in Hz
63 50 zero_gravi
  constant baud0_rate_c          : natural := 19200; -- simulation UART0 (primary UART) baud rate
64
  constant baud1_rate_c          : natural := 19200; -- simulation UART1 (secondary UART) baud rate
65 38 zero_gravi
  -- simulated external Wishbone memory A (can be used as external IMEM) --
66 39 zero_gravi
  constant ext_mem_a_base_addr_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- wishbone memory base address (external IMEM base)
67 38 zero_gravi
  constant ext_mem_a_size_c      : natural := imem_size_c; -- wishbone memory size in bytes
68 40 zero_gravi
  constant ext_mem_a_latency_c   : natural := 8; -- latency in clock cycles (min 1, max 255), plus 1 cycle initial delay
69 39 zero_gravi
  -- simulated external Wishbone memory B (can be used as external DMEM) --
70
  constant ext_mem_b_base_addr_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- wishbone memory base address (external DMEM base)
71
  constant ext_mem_b_size_c      : natural := dmem_size_c; -- wishbone memory size in bytes
72 40 zero_gravi
  constant ext_mem_b_latency_c   : natural := 8; -- latency in clock cycles (min 1, max 255), plus 1 cycle initial delay
73 56 zero_gravi
  -- simulated external Wishbone memory C (can be used to simulate external IO access) --
74 39 zero_gravi
  constant ext_mem_c_base_addr_c : std_ulogic_vector(31 downto 0) := x"F0000000"; -- wishbone memory base address (default begin of EXTERNAL IO area)
75
  constant ext_mem_c_size_c      : natural := 64; -- wishbone memory size in bytes
76 40 zero_gravi
  constant ext_mem_c_latency_c   : natural := 3; -- latency in clock cycles (min 1, max 255), plus 1 cycle initial delay
77 47 zero_gravi
  -- simulation interrupt trigger --
78
  constant irq_trigger_c         : std_ulogic_vector(31 downto 0) := x"FF000000";
79 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
80
 
81 38 zero_gravi
  -- internals - hands off! --
82 50 zero_gravi
  constant int_imem_c       : boolean := not ext_imem_c;
83
  constant int_dmem_c       : boolean := not ext_dmem_c;
84
  constant uart0_baud_val_c : real := real(f_clock_c) / real(baud0_rate_c);
85
  constant uart1_baud_val_c : real := real(f_clock_c) / real(baud1_rate_c);
86
  constant t_clock_c        : time := (1 sec) / f_clock_c;
87 38 zero_gravi
 
88 2 zero_gravi
  -- generators --
89
  signal clk_gen, rst_gen : std_ulogic := '0';
90
 
91 50 zero_gravi
  -- text.io --
92
  file file_uart0_tx_out : text open write_mode is "neorv32.testbench_uart0.out";
93
  file file_uart1_tx_out : text open write_mode is "neorv32.testbench_uart1.out";
94 2 zero_gravi
 
95 50 zero_gravi
  -- simulation uart0 receiver --
96 51 zero_gravi
  signal uart0_txd         : std_ulogic; -- local loop-back
97
  signal uart0_cts         : std_ulogic; -- local loop-back
98 50 zero_gravi
  signal uart0_rx_sync     : std_ulogic_vector(04 downto 0) := (others => '1');
99
  signal uart0_rx_busy     : std_ulogic := '0';
100
  signal uart0_rx_sreg     : std_ulogic_vector(08 downto 0) := (others => '0');
101
  signal uart0_rx_baud_cnt : real;
102
  signal uart0_rx_bitcnt   : natural;
103
 
104
  -- simulation uart1 receiver --
105 51 zero_gravi
  signal uart1_txd         : std_ulogic; -- local loop-back
106
  signal uart1_cts         : std_ulogic; -- local loop-back
107 50 zero_gravi
  signal uart1_rx_sync     : std_ulogic_vector(04 downto 0) := (others => '1');
108
  signal uart1_rx_busy     : std_ulogic := '0';
109
  signal uart1_rx_sreg     : std_ulogic_vector(08 downto 0) := (others => '0');
110
  signal uart1_rx_baud_cnt : real;
111
  signal uart1_rx_bitcnt   : natural;
112
 
113 2 zero_gravi
  -- gpio --
114 22 zero_gravi
  signal gpio : std_ulogic_vector(31 downto 0);
115 2 zero_gravi
 
116
  -- twi --
117
  signal twi_scl, twi_sda : std_logic;
118
 
119
  -- spi --
120 40 zero_gravi
  signal spi_data : std_ulogic;
121 2 zero_gravi
 
122 40 zero_gravi
  -- irq --
123 58 zero_gravi
  signal msi_ring, mei_ring, nmi_ring : std_ulogic;
124
  signal soc_firq_ring : std_ulogic_vector(5 downto 0);
125 40 zero_gravi
 
126 2 zero_gravi
  -- Wishbone bus --
127
  type wishbone_t is record
128
    addr  : std_ulogic_vector(31 downto 0); -- address
129
    wdata : std_ulogic_vector(31 downto 0); -- master write data
130
    rdata : std_ulogic_vector(31 downto 0); -- master read data
131
    we    : std_ulogic; -- write enable
132
    sel   : std_ulogic_vector(03 downto 0); -- byte enable
133
    stb   : std_ulogic; -- strobe
134
    cyc   : std_ulogic; -- valid cycle
135
    ack   : std_ulogic; -- transfer acknowledge
136
    err   : std_ulogic; -- transfer error
137 57 zero_gravi
    tag   : std_ulogic_vector(02 downto 0); -- request tag
138
    lock  : std_ulogic; -- exclusive access request
139 2 zero_gravi
  end record;
140 47 zero_gravi
  signal wb_cpu, wb_mem_a, wb_mem_b, wb_mem_c, wb_irq : wishbone_t;
141 2 zero_gravi
 
142 38 zero_gravi
  -- Wishbone memories --
143
  type ext_mem_a_ram_t is array (0 to ext_mem_a_size_c/4-1) of std_ulogic_vector(31 downto 0);
144
  type ext_mem_b_ram_t is array (0 to ext_mem_b_size_c/4-1) of std_ulogic_vector(31 downto 0);
145 39 zero_gravi
  type ext_mem_c_ram_t is array (0 to ext_mem_c_size_c/4-1) of std_ulogic_vector(31 downto 0);
146 38 zero_gravi
  type ext_mem_read_latency_t is array (0 to 255) of std_ulogic_vector(31 downto 0);
147 30 zero_gravi
 
148 53 zero_gravi
  -- exclusive access / reservation --
149
  signal ext_mem_c_atomic_reservation : std_ulogic := '0';
150
 
151 30 zero_gravi
  -- init function --
152
  -- impure function: returns NOT the same result every time it is evaluated with the same arguments since the source file might have changed
153 38 zero_gravi
  impure function init_wbmem(init : application_init_image_t) return ext_mem_a_ram_t is
154
    variable mem_v : ext_mem_a_ram_t;
155 30 zero_gravi
  begin
156
    mem_v := (others => (others => '0'));
157
    for i in 0 to init'length-1 loop -- init only in range of source data array
158 60 zero_gravi
      if (xbus_big_endian_c = false) then
159 30 zero_gravi
        mem_v(i) := init(i);
160 40 zero_gravi
      else
161
        mem_v(i) := bswap32_f(init(i));
162
      end if;
163 30 zero_gravi
    end loop; -- i
164
    return mem_v;
165
  end function init_wbmem;
166
 
167 38 zero_gravi
  -- external memory components --
168 39 zero_gravi
  signal ext_ram_a : ext_mem_a_ram_t := init_wbmem(application_init_image); -- initialized, used to simulate external IMEM
169
  signal ext_ram_b : ext_mem_b_ram_t := (others => (others => '0')); -- zero, used to simulate external DMEM
170
  signal ext_ram_c : ext_mem_c_ram_t; -- uninitialized, used to simulate external IO
171 30 zero_gravi
 
172 38 zero_gravi
  type ext_mem_t is record
173
    rdata  : ext_mem_read_latency_t;
174 23 zero_gravi
    acc_en : std_ulogic;
175 38 zero_gravi
    ack    : std_ulogic_vector(ext_mem_a_latency_c-1 downto 0);
176 23 zero_gravi
  end record;
177 39 zero_gravi
  signal ext_mem_a, ext_mem_b, ext_mem_c : ext_mem_t;
178 2 zero_gravi
 
179
begin
180
 
181
  -- Clock/Reset Generator ------------------------------------------------------------------
182
  -- -------------------------------------------------------------------------------------------
183
  clk_gen <= not clk_gen after (t_clock_c/2);
184
  rst_gen <= '0', '1' after 60*(t_clock_c/2);
185
 
186
 
187 48 zero_gravi
  -- The Core of the Problem ----------------------------------------------------------------
188 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
189
  neorv32_top_inst: neorv32_top
190
  generic map (
191
    -- General --
192 38 zero_gravi
    CLOCK_FREQUENCY              => f_clock_c,     -- clock frequency of clk_i in Hz
193 44 zero_gravi
    BOOTLOADER_EN                => false,         -- implement processor-internal bootloader?
194 36 zero_gravi
    USER_CODE                    => x"12345678",   -- custom user code
195 49 zero_gravi
    HW_THREAD_ID                 => 0,             -- hardware thread id (hartid) (32-bit)
196 59 zero_gravi
    -- On-Chip Debugger (OCD) --
197
    ON_CHIP_DEBUGGER_EN          => true,          -- implement on-chip debugger
198 2 zero_gravi
    -- RISC-V CPU Extensions --
199 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => true,          -- implement atomic extension?
200 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => true,          -- implement compressed extension?
201
    CPU_EXTENSION_RISCV_E        => false,         -- implement embedded RF extension?
202
    CPU_EXTENSION_RISCV_M        => true,          -- implement muld/div extension?
203 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => true,          -- implement user mode extension?
204 55 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    => true,          -- implement 32-bit floating-point extension (using INT reg!)
205 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => true,          -- implement CSR system?
206
    CPU_EXTENSION_RISCV_Zifencei => true,          -- implement instruction stream sync.?
207 19 zero_gravi
    -- Extension Options --
208
    FAST_MUL_EN                  => false,         -- use DSPs for M extension's multiplier
209 34 zero_gravi
    FAST_SHIFT_EN                => false,         -- use barrel shifter for shift operations
210 56 zero_gravi
    TINY_SHIFT_EN                => false,         -- use tiny (single-bit) shifter for shift operations
211
    CPU_CNT_WIDTH                => 64,            -- total width of CPU cycle and instret counters (0..64)
212 15 zero_gravi
    -- Physical Memory Protection (PMP) --
213 58 zero_gravi
    PMP_NUM_REGIONS              => 5,             -- number of regions (0..64)
214 42 zero_gravi
    PMP_MIN_GRANULARITY          => 64*1024,       -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
215
    -- Hardware Performance Monitors (HPM) --
216 58 zero_gravi
    HPM_NUM_CNTS                 => 12,            -- number of implemented HPM counters (0..29)
217 60 zero_gravi
    HPM_CNT_WIDTH                => 40,            -- total size of HPM counters (0..64)
218 23 zero_gravi
    -- Internal Instruction memory --
219 44 zero_gravi
    MEM_INT_IMEM_EN              => int_imem_c ,   -- implement processor-internal instruction memory
220 38 zero_gravi
    MEM_INT_IMEM_SIZE            => imem_size_c,   -- size of processor-internal instruction memory in bytes
221 8 zero_gravi
    MEM_INT_IMEM_ROM             => false,         -- implement processor-internal instruction memory as ROM
222 23 zero_gravi
    -- Internal Data memory --
223 44 zero_gravi
    MEM_INT_DMEM_EN              => int_dmem_c,    -- implement processor-internal data memory
224 39 zero_gravi
    MEM_INT_DMEM_SIZE            => dmem_size_c,   -- size of processor-internal data memory in bytes
225 41 zero_gravi
    -- Internal Cache memory --
226 44 zero_gravi
    ICACHE_EN                    => icache_en_c,   -- implement instruction cache
227 41 zero_gravi
    ICACHE_NUM_BLOCKS            => 8,             -- i-cache: number of blocks (min 2), has to be a power of 2
228
    ICACHE_BLOCK_SIZE            => 64,            -- i-cache: block size in bytes (min 4), has to be a power of 2
229 45 zero_gravi
    ICACHE_ASSOCIATIVITY         => 2,             -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
230 23 zero_gravi
    -- External memory interface --
231 44 zero_gravi
    MEM_EXT_EN                   => true,          -- implement external memory bus interface?
232 57 zero_gravi
    MEM_EXT_TIMEOUT              => 255,           -- cycles after a pending bus access auto-terminates (0 = disabled)
233 2 zero_gravi
    -- Processor peripherals --
234 44 zero_gravi
    IO_GPIO_EN                   => true,          -- implement general purpose input/output port unit (GPIO)?
235
    IO_MTIME_EN                  => true,          -- implement machine system timer (MTIME)?
236 50 zero_gravi
    IO_UART0_EN                  => true,          -- implement primary universal asynchronous receiver/transmitter (UART0)?
237
    IO_UART1_EN                  => true,          -- implement secondary universal asynchronous receiver/transmitter (UART1)?
238 44 zero_gravi
    IO_SPI_EN                    => true,          -- implement serial peripheral interface (SPI)?
239
    IO_TWI_EN                    => true,          -- implement two-wire interface (TWI)?
240 60 zero_gravi
    IO_PWM_NUM_CH                => 30,            -- number of PWM channels to implement (0..60); 0 = disabled
241 44 zero_gravi
    IO_WDT_EN                    => true,          -- implement watch dog timer (WDT)?
242
    IO_TRNG_EN                   => false,         -- trng cannot be simulated
243 47 zero_gravi
    IO_CFS_EN                    => true,          -- implement custom functions subsystem (CFS)?
244 49 zero_gravi
    IO_CFS_CONFIG                => (others => '0'), -- custom CFS configuration generic
245 52 zero_gravi
    IO_CFS_IN_SIZE               => 32,            -- size of CFS input conduit in bits
246
    IO_CFS_OUT_SIZE              => 32,            -- size of CFS output conduit in bits
247
    IO_NCO_EN                    => true,          -- implement numerically-controlled oscillator (NCO)?
248
    IO_NEOLED_EN                 => true           -- implement NeoPixel-compatible smart LED interface (NEOLED)?
249 2 zero_gravi
  )
250
  port map (
251
    -- Global control --
252 34 zero_gravi
    clk_i       => clk_gen,         -- global clock, rising edge
253
    rstn_i      => rst_gen,         -- global reset, low-active, async
254 59 zero_gravi
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
255
    jtag_trst_i => '1',             -- low-active TAP reset (optional)
256
    jtag_tck_i  => '0',             -- serial clock
257
    jtag_tdi_i  => '0',             -- serial data input
258
    jtag_tdo_o  => open,            -- serial data output
259
    jtag_tms_i  => '0',             -- mode select
260 49 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
261 53 zero_gravi
    wb_tag_o    => wb_cpu.tag,      -- request tag
262 34 zero_gravi
    wb_adr_o    => wb_cpu.addr,     -- address
263
    wb_dat_i    => wb_cpu.rdata,    -- read data
264
    wb_dat_o    => wb_cpu.wdata,    -- write data
265
    wb_we_o     => wb_cpu.we,       -- read/write
266
    wb_sel_o    => wb_cpu.sel,      -- byte enable
267
    wb_stb_o    => wb_cpu.stb,      -- strobe
268
    wb_cyc_o    => wb_cpu.cyc,      -- valid cycle
269 57 zero_gravi
    wb_lock_o   => wb_cpu.lock,     -- exclusive access request
270 34 zero_gravi
    wb_ack_i    => wb_cpu.ack,      -- transfer acknowledge
271
    wb_err_i    => wb_cpu.err,      -- transfer error
272 49 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
273 34 zero_gravi
    fence_o     => open,            -- indicates an executed FENCE operation
274
    fencei_o    => open,            -- indicates an executed FENCEI operation
275 49 zero_gravi
    -- GPIO (available if IO_GPIO_EN = true) --
276 34 zero_gravi
    gpio_o      => gpio,            -- parallel output
277
    gpio_i      => gpio,            -- parallel input
278 50 zero_gravi
    -- primary UART0 (available if IO_UART0_EN = true) --
279
    uart0_txd_o => uart0_txd,       -- UART0 send data
280
    uart0_rxd_i => uart0_txd,       -- UART0 receive data
281 51 zero_gravi
    uart0_rts_o => uart0_cts,       -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
282
    uart0_cts_i => uart0_cts,       -- hw flow control: UART0.TX allowed to transmit, low-active, optional
283 50 zero_gravi
    -- secondary UART1 (available if IO_UART1_EN = true) --
284
    uart1_txd_o => uart1_txd,       -- UART1 send data
285
    uart1_rxd_i => uart1_txd,       -- UART1 receive data
286 51 zero_gravi
    uart1_rts_o => uart1_cts,       -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
287
    uart1_cts_i => uart1_cts,       -- hw flow control: UART1.TX allowed to transmit, low-active, optional
288 49 zero_gravi
    -- SPI (available if IO_SPI_EN = true) --
289 34 zero_gravi
    spi_sck_o   => open,            -- SPI serial clock
290
    spi_sdo_o   => spi_data,        -- controller data out, peripheral data in
291
    spi_sdi_i   => spi_data,        -- controller data in, peripheral data out
292
    spi_csn_o   => open,            -- SPI CS
293 49 zero_gravi
    -- TWI (available if IO_TWI_EN = true) --
294 34 zero_gravi
    twi_sda_io  => twi_sda,         -- twi serial data line
295
    twi_scl_io  => twi_scl,         -- twi serial clock line
296 60 zero_gravi
    -- PWM (available if IO_PWM_NUM_CH > 0) --
297 34 zero_gravi
    pwm_o       => open,            -- pwm channels
298 47 zero_gravi
    -- Custom Functions Subsystem IO --
299
    cfs_in_i    => (others => '0'), -- custom CFS inputs
300
    cfs_out_o   => open,            -- custom CFS outputs
301 49 zero_gravi
    -- NCO output (available if IO_NCO_EN = true) --
302 52 zero_gravi
    nco_o       => open,            -- numerically-controlled oscillator channels
303
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
304
    neoled_o    => open,            -- async serial data line
305 59 zero_gravi
    -- System time --
306
    mtime_i     => (others => '0'), -- current system time from ext. MTIME (if IO_MTIME_EN = false)
307
    mtime_o     => open,            -- current system time from int. MTIME (if IO_MTIME_EN = true)
308 2 zero_gravi
    -- Interrupts --
309 58 zero_gravi
    nm_irq_i    => nmi_ring,        -- non-maskable interrupt
310 47 zero_gravi
    soc_firq_i  => soc_firq_ring,   -- fast interrupt channels
311 44 zero_gravi
    mtime_irq_i => '0',             -- machine software interrupt, available if IO_MTIME_EN = false
312 40 zero_gravi
    msw_irq_i   => msi_ring,        -- machine software interrupt
313
    mext_irq_i  => mei_ring         -- machine external interrupt
314 2 zero_gravi
  );
315
 
316 36 zero_gravi
  -- TWI termination (pull-ups) --
317 2 zero_gravi
  twi_scl <= 'H';
318
  twi_sda <= 'H';
319
 
320
 
321 50 zero_gravi
  -- Console UART0 Receiver -----------------------------------------------------------------
322 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
323 50 zero_gravi
  uart0_rx_console: process(clk_gen)
324 3 zero_gravi
    variable i : integer;
325
    variable l : line;
326 2 zero_gravi
  begin
327
    -- "UART" --
328
    if rising_edge(clk_gen) then
329
      -- synchronizer --
330 50 zero_gravi
      uart0_rx_sync <= uart0_rx_sync(3 downto 0) & uart0_txd;
331 2 zero_gravi
      -- arbiter --
332 50 zero_gravi
      if (uart0_rx_busy = '0') then -- idle
333
        uart0_rx_busy     <= '0';
334
        uart0_rx_baud_cnt <= round(0.5 * uart0_baud_val_c);
335
        uart0_rx_bitcnt   <= 9;
336
        if (uart0_rx_sync(4 downto 1) = "1100") then -- start bit? (falling edge)
337
          uart0_rx_busy <= '1';
338 2 zero_gravi
        end if;
339
      else
340 50 zero_gravi
        if (uart0_rx_baud_cnt <= 0.0) then
341
          if (uart0_rx_bitcnt = 1) then
342
            uart0_rx_baud_cnt <= round(0.5 * uart0_baud_val_c);
343 2 zero_gravi
          else
344 50 zero_gravi
            uart0_rx_baud_cnt <= round(uart0_baud_val_c);
345 2 zero_gravi
          end if;
346 50 zero_gravi
          if (uart0_rx_bitcnt = 0) then
347
            uart0_rx_busy <= '0'; -- done
348
            i := to_integer(unsigned(uart0_rx_sreg(8 downto 1)));
349 2 zero_gravi
 
350 3 zero_gravi
            if (i < 32) or (i > 32+95) then -- printable char?
351 50 zero_gravi
              report "NEORV32_TB_UART0.TX: (" & integer'image(i) & ")"; -- print code
352 2 zero_gravi
            else
353 50 zero_gravi
              report "NEORV32_TB_UART0.TX: " & character'val(i); -- print ASCII
354 2 zero_gravi
            end if;
355
 
356
            if (i = 10) then -- Linux line break
357 50 zero_gravi
              writeline(file_uart0_tx_out, l);
358 2 zero_gravi
            elsif (i /= 13) then -- Remove additional carriage return
359 3 zero_gravi
              write(l, character'val(i));
360 2 zero_gravi
            end if;
361
          else
362 50 zero_gravi
            uart0_rx_sreg   <= uart0_rx_sync(4) & uart0_rx_sreg(8 downto 1);
363
            uart0_rx_bitcnt <= uart0_rx_bitcnt - 1;
364 2 zero_gravi
          end if;
365
        else
366 50 zero_gravi
          uart0_rx_baud_cnt <= uart0_rx_baud_cnt - 1.0;
367 2 zero_gravi
        end if;
368
      end if;
369
    end if;
370 50 zero_gravi
  end process uart0_rx_console;
371 2 zero_gravi
 
372
 
373 50 zero_gravi
  -- Console UART1 Receiver -----------------------------------------------------------------
374
  -- -------------------------------------------------------------------------------------------
375
  uart1_rx_console: process(clk_gen)
376
    variable i : integer;
377
    variable l : line;
378
  begin
379
    -- "UART" --
380
    if rising_edge(clk_gen) then
381
      -- synchronizer --
382
      uart1_rx_sync <= uart1_rx_sync(3 downto 0) & uart1_txd;
383
      -- arbiter --
384
      if (uart1_rx_busy = '0') then -- idle
385
        uart1_rx_busy     <= '0';
386
        uart1_rx_baud_cnt <= round(0.5 * uart1_baud_val_c);
387
        uart1_rx_bitcnt   <= 9;
388
        if (uart1_rx_sync(4 downto 1) = "1100") then -- start bit? (falling edge)
389
          uart1_rx_busy <= '1';
390
        end if;
391
      else
392
        if (uart1_rx_baud_cnt <= 0.0) then
393
          if (uart1_rx_bitcnt = 1) then
394
            uart1_rx_baud_cnt <= round(0.5 * uart1_baud_val_c);
395
          else
396
            uart1_rx_baud_cnt <= round(uart1_baud_val_c);
397
          end if;
398
          if (uart1_rx_bitcnt = 0) then
399
            uart1_rx_busy <= '0'; -- done
400
            i := to_integer(unsigned(uart1_rx_sreg(8 downto 1)));
401
 
402
            if (i < 32) or (i > 32+95) then -- printable char?
403
              report "NEORV32_TB_UART1.TX: (" & integer'image(i) & ")"; -- print code
404
            else
405
              report "NEORV32_TB_UART1.TX: " & character'val(i); -- print ASCII
406
            end if;
407
 
408
            if (i = 10) then -- Linux line break
409
              writeline(file_uart1_tx_out, l);
410
            elsif (i /= 13) then -- Remove additional carriage return
411
              write(l, character'val(i));
412
            end if;
413
          else
414
            uart1_rx_sreg   <= uart1_rx_sync(4) & uart1_rx_sreg(8 downto 1);
415
            uart1_rx_bitcnt <= uart1_rx_bitcnt - 1;
416
          end if;
417
        else
418
          uart1_rx_baud_cnt <= uart1_rx_baud_cnt - 1.0;
419
        end if;
420
      end if;
421
    end if;
422
  end process uart1_rx_console;
423
 
424
 
425 38 zero_gravi
  -- Wishbone Fabric ------------------------------------------------------------------------
426 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
427 38 zero_gravi
  -- CPU broadcast signals --
428
  wb_mem_a.addr  <= wb_cpu.addr;
429 39 zero_gravi
  wb_mem_a.wdata <= wb_cpu.wdata;
430
  wb_mem_a.we    <= wb_cpu.we;
431
  wb_mem_a.sel   <= wb_cpu.sel;
432
  wb_mem_a.tag   <= wb_cpu.tag;
433
  wb_mem_a.cyc   <= wb_cpu.cyc;
434
 
435 38 zero_gravi
  wb_mem_b.addr  <= wb_cpu.addr;
436
  wb_mem_b.wdata <= wb_cpu.wdata;
437
  wb_mem_b.we    <= wb_cpu.we;
438
  wb_mem_b.sel   <= wb_cpu.sel;
439
  wb_mem_b.tag   <= wb_cpu.tag;
440
  wb_mem_b.cyc   <= wb_cpu.cyc;
441 39 zero_gravi
 
442
  wb_mem_c.addr  <= wb_cpu.addr;
443
  wb_mem_c.wdata <= wb_cpu.wdata;
444
  wb_mem_c.we    <= wb_cpu.we;
445
  wb_mem_c.sel   <= wb_cpu.sel;
446
  wb_mem_c.tag   <= wb_cpu.tag;
447
  wb_mem_c.cyc   <= wb_cpu.cyc;
448
 
449 47 zero_gravi
  wb_irq.addr    <= wb_cpu.addr;
450
  wb_irq.wdata   <= wb_cpu.wdata;
451
  wb_irq.we      <= wb_cpu.we;
452
  wb_irq.sel     <= wb_cpu.sel;
453
  wb_irq.tag     <= wb_cpu.tag;
454
  wb_irq.cyc     <= wb_cpu.cyc;
455 40 zero_gravi
 
456 38 zero_gravi
  -- CPU read-back signals (no mux here since peripherals have "output gates") --
457 47 zero_gravi
  wb_cpu.rdata <= wb_mem_a.rdata or wb_mem_b.rdata or wb_mem_c.rdata or wb_irq.rdata;
458
  wb_cpu.ack   <= wb_mem_a.ack   or wb_mem_b.ack   or wb_mem_c.ack   or wb_irq.ack;
459
  wb_cpu.err   <= wb_mem_a.err   or wb_mem_b.err   or wb_mem_c.err   or wb_irq.err;
460 38 zero_gravi
 
461
  -- peripheral select via STROBE signal --
462
  wb_mem_a.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_a_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_a_base_addr_c) + ext_mem_a_size_c)) else '0';
463
  wb_mem_b.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_b_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_b_base_addr_c) + ext_mem_b_size_c)) else '0';
464 39 zero_gravi
  wb_mem_c.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_c_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_c_base_addr_c) + ext_mem_c_size_c)) else '0';
465 47 zero_gravi
  wb_irq.stb   <= wb_cpu.stb when (wb_cpu.addr =  irq_trigger_c) else '0';
466 38 zero_gravi
 
467
 
468 39 zero_gravi
  -- Wishbone Memory A (simulated external IMEM) --------------------------------------------
469 38 zero_gravi
  -- -------------------------------------------------------------------------------------------
470
  ext_mem_a_access: process(clk_gen)
471 23 zero_gravi
  begin
472
    if rising_edge(clk_gen) then
473
      -- control --
474 38 zero_gravi
      ext_mem_a.ack(0) <= wb_mem_a.cyc and wb_mem_a.stb; -- wishbone acknowledge
475
 
476 23 zero_gravi
      -- write access --
477 38 zero_gravi
      if ((wb_mem_a.cyc and wb_mem_a.stb and wb_mem_a.we) = '1') then -- valid write access
478 23 zero_gravi
        for i in 0 to 3 loop
479 38 zero_gravi
          if (wb_mem_a.sel(i) = '1') then
480
            ext_ram_a(to_integer(unsigned(wb_mem_a.addr(index_size_f(ext_mem_a_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) <= wb_mem_a.wdata(7+i*8 downto 0+i*8);
481 23 zero_gravi
          end if;
482
        end loop; -- i
483 2 zero_gravi
      end if;
484 38 zero_gravi
 
485 23 zero_gravi
      -- read access --
486 38 zero_gravi
      ext_mem_a.rdata(0) <= ext_ram_a(to_integer(unsigned(wb_mem_a.addr(index_size_f(ext_mem_a_size_c/4)+1 downto 2)))); -- word aligned
487 23 zero_gravi
      -- virtual read and ack latency --
488 38 zero_gravi
      if (ext_mem_a_latency_c > 1) then
489
        for i in 1 to ext_mem_a_latency_c-1 loop
490
          ext_mem_a.rdata(i) <= ext_mem_a.rdata(i-1);
491
          ext_mem_a.ack(i)   <= ext_mem_a.ack(i-1) and wb_mem_a.cyc;
492 23 zero_gravi
        end loop;
493
      end if;
494 38 zero_gravi
 
495
      -- bus output register --
496 57 zero_gravi
      wb_mem_a.err <= '0';
497 53 zero_gravi
      if (ext_mem_a.ack(ext_mem_a_latency_c-1) = '1') and (wb_mem_b.cyc = '1') and (wb_mem_a.ack = '0') then
498 38 zero_gravi
        wb_mem_a.rdata <= ext_mem_a.rdata(ext_mem_a_latency_c-1);
499
        wb_mem_a.ack   <= '1';
500
      else
501
        wb_mem_a.rdata <= (others => '0');
502
        wb_mem_a.ack   <= '0';
503
      end if;
504 23 zero_gravi
    end if;
505 38 zero_gravi
  end process ext_mem_a_access;
506 2 zero_gravi
 
507
 
508 39 zero_gravi
  -- Wishbone Memory B (simulated external DMEM) --------------------------------------------
509 38 zero_gravi
  -- -------------------------------------------------------------------------------------------
510
  ext_mem_b_access: process(clk_gen)
511
  begin
512
    if rising_edge(clk_gen) then
513
      -- control --
514
      ext_mem_b.ack(0) <= wb_mem_b.cyc and wb_mem_b.stb; -- wishbone acknowledge
515 2 zero_gravi
 
516 38 zero_gravi
      -- write access --
517
      if ((wb_mem_b.cyc and wb_mem_b.stb and wb_mem_b.we) = '1') then -- valid write access
518
        for i in 0 to 3 loop
519
          if (wb_mem_b.sel(i) = '1') then
520
            ext_ram_b(to_integer(unsigned(wb_mem_b.addr(index_size_f(ext_mem_b_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) <= wb_mem_b.wdata(7+i*8 downto 0+i*8);
521
          end if;
522
        end loop; -- i
523
      end if;
524 3 zero_gravi
 
525 38 zero_gravi
      -- read access --
526
      ext_mem_b.rdata(0) <= ext_ram_b(to_integer(unsigned(wb_mem_b.addr(index_size_f(ext_mem_b_size_c/4)+1 downto 2)))); -- word aligned
527
      -- virtual read and ack latency --
528
      if (ext_mem_b_latency_c > 1) then
529
        for i in 1 to ext_mem_b_latency_c-1 loop
530
          ext_mem_b.rdata(i) <= ext_mem_b.rdata(i-1);
531
          ext_mem_b.ack(i)   <= ext_mem_b.ack(i-1) and wb_mem_b.cyc;
532
        end loop;
533
      end if;
534
 
535
      -- bus output register --
536 57 zero_gravi
      wb_mem_b.err <= '0';
537 53 zero_gravi
      if (ext_mem_b.ack(ext_mem_b_latency_c-1) = '1') and (wb_mem_b.cyc = '1') and (wb_mem_b.ack = '0') then
538 38 zero_gravi
        wb_mem_b.rdata <= ext_mem_b.rdata(ext_mem_b_latency_c-1);
539
        wb_mem_b.ack   <= '1';
540
      else
541
        wb_mem_b.rdata <= (others => '0');
542
        wb_mem_b.ack   <= '0';
543
      end if;
544
    end if;
545
  end process ext_mem_b_access;
546
 
547
 
548 39 zero_gravi
  -- Wishbone Memory C (simulated external IO) ----------------------------------------------
549
  -- -------------------------------------------------------------------------------------------
550
  ext_mem_c_access: process(clk_gen)
551
  begin
552
    if rising_edge(clk_gen) then
553
      -- control --
554
      ext_mem_c.ack(0) <= wb_mem_c.cyc and wb_mem_c.stb; -- wishbone acknowledge
555
 
556
      -- write access --
557
      if ((wb_mem_c.cyc and wb_mem_c.stb and wb_mem_c.we) = '1') then -- valid write access
558
        for i in 0 to 3 loop
559
          if (wb_mem_c.sel(i) = '1') then
560
            ext_ram_c(to_integer(unsigned(wb_mem_c.addr(index_size_f(ext_mem_c_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) <= wb_mem_c.wdata(7+i*8 downto 0+i*8);
561
          end if;
562
        end loop; -- i
563
      end if;
564
 
565
      -- read access --
566
      ext_mem_c.rdata(0) <= ext_ram_c(to_integer(unsigned(wb_mem_c.addr(index_size_f(ext_mem_c_size_c/4)+1 downto 2)))); -- word aligned
567
      -- virtual read and ack latency --
568
      if (ext_mem_c_latency_c > 1) then
569
        for i in 1 to ext_mem_c_latency_c-1 loop
570
          ext_mem_c.rdata(i) <= ext_mem_c.rdata(i-1);
571
          ext_mem_c.ack(i)   <= ext_mem_c.ack(i-1) and wb_mem_c.cyc;
572
        end loop;
573
      end if;
574
 
575 53 zero_gravi
      -- EXCLUSIVE bus access -----------------------------------------------------
576
      -- -----------------------------------------------------------------------------
577 57 zero_gravi
      -- Since there is only one CPU in this design, the exclusive access reservation in THIS memory CANNOT fail.
578
      -- However, this memory module is used to simulated failing LR/SC accesses.
579
      if ((wb_mem_c.cyc and wb_mem_c.stb) = '1') then -- valid access
580
        ext_mem_c_atomic_reservation <= wb_mem_c.lock; -- make reservation
581 53 zero_gravi
      end if;
582
      -- -----------------------------------------------------------------------------
583 39 zero_gravi
 
584
      -- bus output register --
585 53 zero_gravi
      if (ext_mem_c.ack(ext_mem_c_latency_c-1) = '1') and (wb_mem_c.cyc = '1') and (wb_mem_c.ack = '0') then
586 39 zero_gravi
        wb_mem_c.rdata <= ext_mem_c.rdata(ext_mem_c_latency_c-1);
587
        wb_mem_c.ack   <= '1';
588 57 zero_gravi
        wb_mem_c.err   <= ext_mem_c_atomic_reservation; -- issue a bus error if there is an exclusive access request
589 39 zero_gravi
      else
590
        wb_mem_c.rdata <= (others => '0');
591
        wb_mem_c.ack   <= '0';
592 57 zero_gravi
        wb_mem_c.err   <= '0';
593 39 zero_gravi
      end if;
594
    end if;
595
  end process ext_mem_c_access;
596
 
597
 
598 40 zero_gravi
  -- Wishbone IRQ Triggers ------------------------------------------------------------------
599
  -- -------------------------------------------------------------------------------------------
600 45 zero_gravi
  irq_trigger: process(clk_gen)
601 40 zero_gravi
  begin
602
    if rising_edge(clk_gen) then
603 47 zero_gravi
      -- bus interface --
604 57 zero_gravi
      wb_irq.rdata <= (others => '0');
605 60 zero_gravi
      wb_irq.ack   <= wb_irq.cyc and wb_irq.stb and wb_irq.we and and_reduce_f(wb_irq.sel);
606 57 zero_gravi
      wb_irq.err   <= '0';
607 47 zero_gravi
      -- trigger IRQ using CSR.MIE bit layout --
608 58 zero_gravi
      nmi_ring      <= '0';
609 47 zero_gravi
      msi_ring      <= '0';
610
      mei_ring      <= '0';
611
      soc_firq_ring <= (others => '0');
612 60 zero_gravi
      if ((wb_irq.cyc and wb_irq.stb and wb_irq.we and and_reduce_f(wb_irq.sel)) = '1') then
613 58 zero_gravi
        nmi_ring         <= wb_irq.wdata(00); -- non-maskable interrupt
614 47 zero_gravi
        msi_ring         <= wb_irq.wdata(03); -- machine software interrupt
615
        mei_ring         <= wb_irq.wdata(11); -- machine software interrupt
616 50 zero_gravi
        --
617
        soc_firq_ring(0) <= wb_irq.wdata(26); -- fast interrupt SoC channel 0 (-> FIRQ channel 10)
618
        soc_firq_ring(1) <= wb_irq.wdata(27); -- fast interrupt SoC channel 1 (-> FIRQ channel 11)
619
        soc_firq_ring(2) <= wb_irq.wdata(28); -- fast interrupt SoC channel 2 (-> FIRQ channel 12)
620
        soc_firq_ring(3) <= wb_irq.wdata(29); -- fast interrupt SoC channel 3 (-> FIRQ channel 13)
621
        soc_firq_ring(4) <= wb_irq.wdata(30); -- fast interrupt SoC channel 4 (-> FIRQ channel 14)
622
        soc_firq_ring(5) <= wb_irq.wdata(31); -- fast interrupt SoC channel 5 (-> FIRQ channel 15)
623 40 zero_gravi
      end if;
624
    end if;
625 45 zero_gravi
  end process irq_trigger;
626 40 zero_gravi
 
627
 
628 2 zero_gravi
end neorv32_tb_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.