OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sw/] [lib/] [source/] [neorv32_rte.c] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
// #################################################################################################
2
// # << NEORV32: neorv32_rte.c - NEORV32 Runtime Environment >>                                    #
3
// # ********************************************************************************************* #
4
// # BSD 3-Clause License                                                                          #
5
// #                                                                                               #
6
// # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
7
// #                                                                                               #
8
// # Redistribution and use in source and binary forms, with or without modification, are          #
9
// # permitted provided that the following conditions are met:                                     #
10
// #                                                                                               #
11
// # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
// #    conditions and the following disclaimer.                                                   #
13
// #                                                                                               #
14
// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
// #    conditions and the following disclaimer in the documentation and/or other materials        #
16
// #    provided with the distribution.                                                            #
17
// #                                                                                               #
18
// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
// #    endorse or promote products derived from this software without specific prior written      #
20
// #    permission.                                                                                #
21
// #                                                                                               #
22
// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
// # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
// # ********************************************************************************************* #
32
// # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
// #################################################################################################
34
 
35
 
36
/**********************************************************************//**
37
 * @file neorv32_rte.c
38
 * @author Stephan Nolting
39
 * @brief NEORV32 Runtime Environment.
40
 **************************************************************************/
41
 
42
#include "neorv32.h"
43
#include "neorv32_rte.h"
44
 
45 14 zero_gravi
/**********************************************************************//**
46
 * The >private< trap vector look-up table of the NEORV32 RTE.
47
 **************************************************************************/
48
static uint32_t __neorv32_rte_vector_lut[16] __attribute__((unused)); // trap handler vector table
49
 
50
// private functions
51
static void __attribute__((__interrupt__)) __neorv32_rte_core(void) __attribute__((aligned(16))) __attribute__((unused));
52 6 zero_gravi
static void __neorv32_rte_debug_exc_handler(void)     __attribute__((unused));
53
static void __neorv32_rte_print_true_false(int state) __attribute__((unused));
54 2 zero_gravi
 
55
 
56
/**********************************************************************//**
57 14 zero_gravi
 * Setup NEORV32 runtime environment.
58 2 zero_gravi
 *
59
 * @note This function installs a debug handler for ALL exception and interrupt sources, which
60 14 zero_gravi
 * gives detailed information about the exception/interrupt. Actual handler can be installed afterwards
61
 * via neorv32_rte_exception_install(uint8_t id, void (*handler)(void)).
62 2 zero_gravi
 **************************************************************************/
63 14 zero_gravi
void neorv32_rte_setup(void) {
64 2 zero_gravi
 
65 14 zero_gravi
  // configure trap handler base address
66
  uint32_t mtvec_base = (uint32_t)(&__neorv32_rte_core);
67
  neorv32_cpu_csr_write(CSR_MTVEC, mtvec_base);
68 2 zero_gravi
 
69
  // install debug handler for all sources
70 14 zero_gravi
  uint8_t id;
71
  for (id = 0; id < (sizeof(__neorv32_rte_vector_lut)/sizeof(__neorv32_rte_vector_lut[0])); id++) {
72
    neorv32_rte_exception_uninstall(id); // this will configure the debug handler
73 2 zero_gravi
  }
74
}
75
 
76
 
77
/**********************************************************************//**
78
 * Install exception handler function to NEORV32 runtime environment.
79
 *
80
 * @note This function automatically activates the according CSR.mie bits when installing handlers for
81 14 zero_gravi
 * the MTIME (MTI), CLIC (MEI), machine software interrupt (MSI) or a fast IRQ. The global interrupt enable bit mstatus.mie has
82 2 zero_gravi
 * to be set by the user via neorv32_cpu_eint(void).
83
 *
84 14 zero_gravi
 * @param[in] id Identifier (type) of the targeted exception. See #NEORV32_RTE_TRAP_enum.
85
 * @param[in] handler The actual handler function for the specified exception (function MUST be of type "void function(void);").
86
 * return 0 if success, 1 if error (invalid id or targeted exception not supported).
87 2 zero_gravi
 **************************************************************************/
88 14 zero_gravi
int neorv32_rte_exception_install(uint8_t id, void (*handler)(void)) {
89 2 zero_gravi
 
90
  // id valid?
91 14 zero_gravi
  if ((id == RTE_TRAP_I_MISALIGNED) || (id == RTE_TRAP_I_ACCESS)     || (id == RTE_TRAP_I_ILLEGAL) ||
92
      (id == RTE_TRAP_BREAKPOINT)   || (id == RTE_TRAP_L_MISALIGNED) || (id == RTE_TRAP_L_ACCESS)  ||
93
      (id == RTE_TRAP_S_MISALIGNED) || (id == RTE_TRAP_S_ACCESS)     || (id == RTE_TRAP_MENV_CALL) ||
94
      (id == RTE_TRAP_MSI)          || (id == RTE_TRAP_MTI)          || (id == RTE_TRAP_MEI)       ||
95
      (id == RTE_TRAP_FIRQ_0)       || (id == RTE_TRAP_FIRQ_1)       || (id == RTE_TRAP_FIRQ_2)    || (id == RTE_TRAP_FIRQ_3)) {
96 2 zero_gravi
 
97
 
98 14 zero_gravi
    if (id == RTE_TRAP_MSI)    { neorv32_cpu_irq_enable(CPU_MIE_MSIE); } // activate software interrupt
99
    if (id == RTE_TRAP_MTI)    { neorv32_cpu_irq_enable(CPU_MIE_MTIE); } // activate timer interrupt
100
    if (id == RTE_TRAP_MEI)    { neorv32_cpu_irq_enable(CPU_MIE_MEIE); } // activate external interrupt
101
    if (id == RTE_TRAP_FIRQ_0) { neorv32_cpu_irq_enable(CPU_MIE_FIRQ0E); } // activate fast interrupt channel 0
102
    if (id == RTE_TRAP_FIRQ_1) { neorv32_cpu_irq_enable(CPU_MIE_FIRQ1E); } // activate fast interrupt channel 1
103
    if (id == RTE_TRAP_FIRQ_2) { neorv32_cpu_irq_enable(CPU_MIE_FIRQ2E); } // activate fast interrupt channel 2
104
    if (id == RTE_TRAP_FIRQ_3) { neorv32_cpu_irq_enable(CPU_MIE_FIRQ3E); } // activate fast interrupt channel 3
105 2 zero_gravi
 
106 14 zero_gravi
    __neorv32_rte_vector_lut[id]  = (uint32_t)handler; // install handler
107
 
108 2 zero_gravi
    return 0;
109
  }
110
  return 1;
111
}
112
 
113
 
114
/**********************************************************************//**
115
 * Uninstall exception handler function from NEORV32 runtime environment, which was
116 14 zero_gravi
 * previously installed via neorv32_rte_exception_install(uint8_t id, void (*handler)(void)).
117 2 zero_gravi
 *
118
 * @note This function automatically clears the according CSR.mie bits when uninstalling handlers for
119 14 zero_gravi
 * the MTIME (MTI), CLIC (MEI), machine software interrupt (MSI) or fast IRQs. The global interrupt enable bit mstatus.mie has
120 2 zero_gravi
 * to be cleared by the user via neorv32_cpu_dint(void).
121
 *
122 14 zero_gravi
 * @param[in] id Identifier (type) of the targeted exception. See #NEORV32_RTE_TRAP_enum.
123
 * return 0 if success, 1 if error (invalid id or targeted exception not supported).
124 2 zero_gravi
 **************************************************************************/
125 14 zero_gravi
int neorv32_rte_exception_uninstall(uint8_t id) {
126 2 zero_gravi
 
127
  // id valid?
128 14 zero_gravi
  if ((id == RTE_TRAP_I_MISALIGNED) || (id == RTE_TRAP_I_ACCESS)     || (id == RTE_TRAP_I_ILLEGAL) ||
129
      (id == RTE_TRAP_BREAKPOINT)   || (id == RTE_TRAP_L_MISALIGNED) || (id == RTE_TRAP_L_ACCESS)  ||
130
      (id == RTE_TRAP_S_MISALIGNED) || (id == RTE_TRAP_S_ACCESS)     || (id == RTE_TRAP_MENV_CALL) ||
131
      (id == RTE_TRAP_MSI)          || (id == RTE_TRAP_MTI)          || (id == RTE_TRAP_MEI)       ||
132
      (id == RTE_TRAP_FIRQ_0)       || (id == RTE_TRAP_FIRQ_1)       || (id == RTE_TRAP_FIRQ_2)    || (id == RTE_TRAP_FIRQ_3)) {
133 2 zero_gravi
 
134 14 zero_gravi
    if (id == RTE_TRAP_MSI)    { neorv32_cpu_irq_disable(CPU_MIE_MSIE); } // deactivate software interrupt
135
    if (id == RTE_TRAP_MTI)    { neorv32_cpu_irq_disable(CPU_MIE_MTIE); } // deactivate timer interrupt
136
    if (id == RTE_TRAP_MEI)    { neorv32_cpu_irq_disable(CPU_MIE_MEIE); } // deactivate external interrupt
137
    if (id == RTE_TRAP_FIRQ_0) { neorv32_cpu_irq_disable(CPU_MIE_FIRQ0E); } // deactivate fast interrupt channel 0
138
    if (id == RTE_TRAP_FIRQ_1) { neorv32_cpu_irq_disable(CPU_MIE_FIRQ1E); } // deactivate fast interrupt channel 1
139
    if (id == RTE_TRAP_FIRQ_2) { neorv32_cpu_irq_disable(CPU_MIE_FIRQ2E); } // deactivate fast interrupt channel 2
140
    if (id == RTE_TRAP_FIRQ_3) { neorv32_cpu_irq_disable(CPU_MIE_FIRQ3E); } // deactivate fast interrupt channel 3
141 2 zero_gravi
 
142 14 zero_gravi
    __neorv32_rte_vector_lut[id] = (uint32_t)(&__neorv32_rte_debug_exc_handler); // use dummy handler in case the exception is accidently triggered
143 2 zero_gravi
 
144
    return 0;
145
  }
146
  return 1;
147
}
148
 
149
 
150
/**********************************************************************//**
151 14 zero_gravi
 * This is the core of the NEORV32 RTE.
152
 *
153
 * @note This function must no be explicitly used by the user.
154
 * @warning When using the the RTE, this function is the ONLY function that can use the 'interrupt' attribute!
155 2 zero_gravi
 **************************************************************************/
156 14 zero_gravi
static void __attribute__((__interrupt__)) __attribute__((aligned(16)))  __neorv32_rte_core(void) {
157 2 zero_gravi
 
158 14 zero_gravi
  register uint32_t rte_mepc   = neorv32_cpu_csr_read(CSR_MEPC);
159
  register uint32_t rte_mcause = neorv32_cpu_csr_read(CSR_MCAUSE);
160
 
161
  // compute return address
162
  if ((rte_mcause & 0x80000000) == 0) { // modify pc only if exception
163
 
164
    // get low half word of faulting instruction
165
    register uint32_t rte_trap_inst;
166
    asm volatile ("lh %[result], 0(%[input_i])" : [result] "=r" (rte_trap_inst) : [input_i] "r" (rte_mepc));
167
 
168
    if ((rte_trap_inst & 3) == 3) { // faulting instruction is uncompressed instruction
169
      rte_mepc += 4;
170
    }
171
    else { // faulting instruction is compressed instruction
172
      rte_mepc += 2;
173
    }
174
 
175
    // store new return address
176
    neorv32_cpu_csr_write(CSR_MEPC, rte_mepc);
177
  }
178
 
179
  // find according trap handler
180
  register uint32_t rte_handler = (uint32_t)(&__neorv32_rte_debug_exc_handler);
181
  switch (rte_mcause) {
182
    case TRAP_CODE_I_MISALIGNED: rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_I_MISALIGNED]; break;
183
    case TRAP_CODE_I_ACCESS:     rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_I_ACCESS]; break;
184
    case TRAP_CODE_I_ILLEGAL:    rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_I_ILLEGAL]; break;
185
    case TRAP_CODE_BREAKPOINT:   rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_BREAKPOINT]; break;
186
    case TRAP_CODE_L_MISALIGNED: rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_L_MISALIGNED]; break;
187
    case TRAP_CODE_L_ACCESS:     rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_L_ACCESS]; break;
188
    case TRAP_CODE_S_MISALIGNED: rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_S_MISALIGNED]; break;
189
    case TRAP_CODE_S_ACCESS:     rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_S_ACCESS]; break;
190
    case TRAP_CODE_MENV_CALL:    rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_MENV_CALL]; break;
191
    case TRAP_CODE_MSI:          rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_MSI]; break;
192
    case TRAP_CODE_MTI:          rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_MTI]; break;
193
    case TRAP_CODE_MEI:          rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_MEI]; break;
194
    case TRAP_CODE_FIRQ_0:       rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_FIRQ_0]; break;
195
    case TRAP_CODE_FIRQ_1:       rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_FIRQ_1]; break;
196
    case TRAP_CODE_FIRQ_2:       rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_FIRQ_2]; break;
197
    case TRAP_CODE_FIRQ_3:       rte_handler = __neorv32_rte_vector_lut[RTE_TRAP_FIRQ_3]; break;
198
    default: break;
199
  }
200
 
201
  // execute handler
202
  void (*handler_pnt)(void);
203
  handler_pnt = (void*)rte_handler;
204
  (*handler_pnt)();
205 2 zero_gravi
}
206
 
207
 
208
/**********************************************************************//**
209
 * NEORV32 runtime environment: Debug exception handler, printing various exception/interrupt information via UART.
210 14 zero_gravi
 * @note This function is used by neorv32_rte_exception_uninstall(void) only.
211 2 zero_gravi
 **************************************************************************/
212
static void __neorv32_rte_debug_exc_handler(void) {
213
 
214 15 zero_gravi
  // intro
215
  neorv32_uart_printf("<RTE> ");
216 2 zero_gravi
 
217 15 zero_gravi
  // cause
218 7 zero_gravi
  register uint32_t trap_cause = neorv32_cpu_csr_read(CSR_MCAUSE);
219
  switch (trap_cause) {
220 14 zero_gravi
    case TRAP_CODE_I_MISALIGNED: neorv32_uart_printf("Instruction address misaligned"); break;
221
    case TRAP_CODE_I_ACCESS:     neorv32_uart_printf("Instruction access fault"); break;
222
    case TRAP_CODE_I_ILLEGAL:    neorv32_uart_printf("Illegal instruction"); break;
223
    case TRAP_CODE_BREAKPOINT:   neorv32_uart_printf("Breakpoint (EBREAK)"); break;
224
    case TRAP_CODE_L_MISALIGNED: neorv32_uart_printf("Load address misaligned"); break;
225
    case TRAP_CODE_L_ACCESS:     neorv32_uart_printf("Load access fault"); break;
226
    case TRAP_CODE_S_MISALIGNED: neorv32_uart_printf("Store address misaligned"); break;
227
    case TRAP_CODE_S_ACCESS:     neorv32_uart_printf("Store access fault"); break;
228
    case TRAP_CODE_MENV_CALL:    neorv32_uart_printf("Environment call from M-mode"); break;
229
    case TRAP_CODE_MSI:          neorv32_uart_printf("Machine software interrupt"); break;
230
    case TRAP_CODE_MTI:          neorv32_uart_printf("Machine timer interrupt"); break;
231
    case TRAP_CODE_MEI:          neorv32_uart_printf("Machine external interrupt"); break;
232
    case TRAP_CODE_FIRQ_0:       neorv32_uart_printf("Fast interrupt channel 0"); break;
233
    case TRAP_CODE_FIRQ_1:       neorv32_uart_printf("Fast interrupt channel 1"); break;
234
    case TRAP_CODE_FIRQ_2:       neorv32_uart_printf("Fast interrupt channel 2"); break;
235
    case TRAP_CODE_FIRQ_3:       neorv32_uart_printf("Fast interrupt channel 3"); break;
236
    default:                     neorv32_uart_printf("Unknown (0x%x)", trap_cause); break;
237 2 zero_gravi
  }
238
 
239 15 zero_gravi
  // address
240
  register uint32_t trap_addr  = neorv32_cpu_csr_read(CSR_MEPC);
241
  register uint32_t trap_inst;
242 2 zero_gravi
 
243 15 zero_gravi
  asm volatile ("lh %[result], 0(%[input_i])" : [result] "=r" (trap_inst) : [input_i] "r" (trap_addr));
244
 
245
  if ((trap_cause & 0x80000000) == 0) {
246
    if ((trap_inst & 3) == 3) {
247
      trap_addr -= 4;
248
    }
249
    else {
250
      trap_addr -= 2;
251
    }
252 2 zero_gravi
  }
253 15 zero_gravi
  neorv32_uart_printf(" @0x%x, MTVAL=0x%x </RTE>", trap_addr, neorv32_cpu_csr_read(CSR_MTVAL));
254 6 zero_gravi
}
255
 
256
 
257
/**********************************************************************//**
258
 * NEORV32 runtime environment: Print hardware configuration information via UART
259
 **************************************************************************/
260
void neorv32_rte_print_hw_config(void) {
261
 
262
  uint32_t tmp;
263
  int i;
264
  char c;
265
 
266
  neorv32_uart_printf("\n\n<< NEORV32 Hardware Configuration Overview >>\n");
267
 
268
  // CPU configuration
269
  neorv32_uart_printf("\n-- Central Processing Unit --\n");
270
 
271
  // Hart ID
272 12 zero_gravi
  neorv32_uart_printf("Hart ID:          %u\n", neorv32_cpu_csr_read(CSR_MHARTID));
273 6 zero_gravi
 
274 12 zero_gravi
  // Custom user code
275
  neorv32_uart_printf("User code:        0x%x\n", SYSINFO_USER_CODE);
276
 
277 6 zero_gravi
  // HW version
278
  neorv32_uart_printf("Hardware version: ");
279 12 zero_gravi
  neorv32_rte_print_hw_version();
280 6 zero_gravi
  neorv32_uart_printf(" (0x%x)\n", neorv32_cpu_csr_read(CSR_MIMPID));
281
 
282
  // CPU architecture
283
  neorv32_uart_printf("Architecture:     ");
284
  tmp = neorv32_cpu_csr_read(CSR_MISA);
285
  tmp = (tmp >> 30) & 0x03;
286
  if (tmp == 0) {
287
    neorv32_uart_printf("unknown");
288
  }
289
  if (tmp == 1) {
290
    neorv32_uart_printf("RV32");
291
  }
292
  if (tmp == 2) {
293
    neorv32_uart_printf("RV64");
294
  }
295
  if (tmp == 3) {
296
    neorv32_uart_printf("RV128");
297
  }
298
 
299
  // CPU extensions
300
  neorv32_uart_printf("\nCPU extensions:   ");
301
  tmp = neorv32_cpu_csr_read(CSR_MISA);
302
  for (i=0; i<26; i++) {
303
    if (tmp & (1 << i)) {
304
      c = (char)('A' + i);
305
      neorv32_uart_putc(c);
306
      neorv32_uart_putc(' ');
307
    }
308
  }
309
  neorv32_uart_printf("(0x%x)\n", tmp);
310
 
311
 
312 15 zero_gravi
  // Misc
313
  neorv32_uart_printf("\n-- System --\n");
314
  neorv32_uart_printf("Clock: %u Hz\n", SYSINFO_CLK);
315
 
316
 
317 6 zero_gravi
  // Memory configuration
318 15 zero_gravi
  neorv32_uart_printf("\n-- Processor Memory Configuration --\n");
319 6 zero_gravi
 
320 12 zero_gravi
  uint32_t size = SYSINFO_ISPACE_SIZE;
321
  uint32_t base = SYSINFO_ISPACE_BASE;
322 6 zero_gravi
  neorv32_uart_printf("Instruction memory:   %u bytes @ 0x%x\n", size, base);
323
  neorv32_uart_printf("Internal IMEM:        ");
324 12 zero_gravi
  __neorv32_rte_print_true_false(SYSINFO_FEATURES & (1 << SYSINFO_FEATURES_MEM_INT_IMEM));
325 6 zero_gravi
  neorv32_uart_printf("Internal IMEM as ROM: ");
326 12 zero_gravi
  __neorv32_rte_print_true_false(SYSINFO_FEATURES & (1 << SYSINFO_FEATURES_MEM_INT_IMEM_ROM));
327 6 zero_gravi
 
328 12 zero_gravi
  size = SYSINFO_DSPACE_SIZE;
329
  base = SYSINFO_DSPACE_BASE;
330 6 zero_gravi
  neorv32_uart_printf("Data memory:          %u bytes @ 0x%x\n", size, base);
331
  neorv32_uart_printf("Internal DMEM:        ");
332 12 zero_gravi
  __neorv32_rte_print_true_false(SYSINFO_FEATURES & (1 << SYSINFO_FEATURES_MEM_INT_DMEM));
333 6 zero_gravi
 
334
  neorv32_uart_printf("Bootloader:           ");
335 12 zero_gravi
  __neorv32_rte_print_true_false(SYSINFO_FEATURES & (1 << SYSINFO_FEATURES_BOOTLOADER));
336 6 zero_gravi
 
337
  neorv32_uart_printf("External interface:   ");
338 12 zero_gravi
  __neorv32_rte_print_true_false(SYSINFO_FEATURES & (1 << SYSINFO_FEATURES_MEM_EXT));
339 6 zero_gravi
 
340
  // peripherals
341 15 zero_gravi
  neorv32_uart_printf("\n-- Processor Peripherals --\n");
342
 
343 12 zero_gravi
  tmp = SYSINFO_FEATURES;
344 6 zero_gravi
 
345
  neorv32_uart_printf("GPIO:    ");
346 12 zero_gravi
  __neorv32_rte_print_true_false(tmp & (1 << SYSINFO_FEATURES_IO_GPIO));
347 6 zero_gravi
 
348
  neorv32_uart_printf("MTIME:   ");
349 12 zero_gravi
  __neorv32_rte_print_true_false(tmp & (1 << SYSINFO_FEATURES_IO_MTIME));
350 6 zero_gravi
 
351
  neorv32_uart_printf("UART:    ");
352 12 zero_gravi
  __neorv32_rte_print_true_false(tmp & (1 << SYSINFO_FEATURES_IO_UART));
353 6 zero_gravi
 
354
  neorv32_uart_printf("SPI:     ");
355 12 zero_gravi
  __neorv32_rte_print_true_false(tmp & (1 << SYSINFO_FEATURES_IO_SPI));
356 6 zero_gravi
 
357
  neorv32_uart_printf("TWI:     ");
358 12 zero_gravi
  __neorv32_rte_print_true_false(tmp & (1 << SYSINFO_FEATURES_IO_TWI));
359 6 zero_gravi
 
360
  neorv32_uart_printf("PWM:     ");
361 12 zero_gravi
  __neorv32_rte_print_true_false(tmp & (1 << SYSINFO_FEATURES_IO_PWM));
362 6 zero_gravi
 
363
  neorv32_uart_printf("WDT:     ");
364 12 zero_gravi
  __neorv32_rte_print_true_false(tmp & (1 << SYSINFO_FEATURES_IO_WDT));
365 6 zero_gravi
 
366
  neorv32_uart_printf("TRNG:    ");
367 12 zero_gravi
  __neorv32_rte_print_true_false(tmp & (1 << SYSINFO_FEATURES_IO_TRNG));
368 6 zero_gravi
 
369
  neorv32_uart_printf("DEVNULL: ");
370 12 zero_gravi
  __neorv32_rte_print_true_false(tmp & (1 << SYSINFO_FEATURES_IO_DEVNULL));
371 6 zero_gravi
}
372
 
373
 
374
/**********************************************************************//**
375
 * NEORV32 runtime environment: Private function to print true or false.
376
 * @note This function is used by neorv32_rte_print_hw_config(void) only.
377
 *
378
 * @param[in] state Print TRUE when !=0, print FALSE when 0
379
 **************************************************************************/
380
static void __neorv32_rte_print_true_false(int state) {
381
 
382
  if (state) {
383
    neorv32_uart_printf("True\n");
384
  }
385 2 zero_gravi
  else {
386 6 zero_gravi
    neorv32_uart_printf("False\n");
387 2 zero_gravi
  }
388 6 zero_gravi
}
389 2 zero_gravi
 
390
 
391 6 zero_gravi
/**********************************************************************//**
392 12 zero_gravi
 * NEORV32 runtime environment: Function to show the processor version in human-readable format.
393 6 zero_gravi
 **************************************************************************/
394 12 zero_gravi
void neorv32_rte_print_hw_version(void) {
395 6 zero_gravi
 
396
  uint32_t i;
397
  char tmp, cnt;
398
  uint32_t version = neorv32_cpu_csr_read(CSR_MIMPID);
399
 
400
  for (i=0; i<4; i++) {
401
 
402
    tmp = (char)(version >> (24 - 8*i));
403
 
404
    // serial division
405
    cnt = 0;
406
    while (tmp >= 10) {
407
      tmp = tmp - 10;
408
      cnt++;
409
    }
410
 
411
    if (cnt) {
412
      neorv32_uart_putc('0' + cnt);
413
    }
414
    neorv32_uart_putc('0' + tmp);
415
    if (i < 3) {
416
      neorv32_uart_putc('.');
417
    }
418
  }
419 2 zero_gravi
}
420 11 zero_gravi
 
421
 
422
/**********************************************************************//**
423
 * NEORV32 runtime environment: Print project credits
424
 **************************************************************************/
425
void neorv32_rte_print_credits(void) {
426
 
427
  neorv32_uart_print("\n\nThe NEORV32 Processor Project\n"
428
                     "by Stephan Nolting\n"
429
                     "https://github.com/stnolting/neorv32\n"
430
                     "made in Hannover, Germany\n\n");
431
}
432
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.