OpenCores
URL https://opencores.org/ocsvn/next186_soc_pc/next186_soc_pc/trunk

Subversion Repositories next186_soc_pc

[/] [next186_soc_pc/] [trunk/] [HW/] [ipcore_dir/] [fifo.vho] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ndumitrach
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2012 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
 
30
-- The FIFO Generator is a parameterizable first-in/first-out memory queue generator. Use it to generate resource and performance optimized FIFOs with common or independent read/write clock domains, and optional fixed or programmable full and empty flags and handshaking signals.  Choose from a selection of memory resource types for implementation.  Optional Hamming code based error detection and correction as well as error injection capability for system test help to insure data integrity.  FIFO width and depth are parameterizable, and for native interface FIFOs, asymmetric read and write port widths are also supported.
31
 
32
-- Interfaces:
33
--    AXIStream_MASTER_M_AXIS
34
--    AXIStream_SLAVE_S_AXIS
35
--    AXI4_MASTER_M_AXI
36
--    AXI4_SLAVE_S_AXI
37
--    AXILite_MASTER_M_AXI
38
--    AXILite_SLAVE_S_AXI
39
 
40
-- The following code must appear in the VHDL architecture header:
41
 
42
------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
43
COMPONENT fifo
44
  PORT (
45
    rst : IN STD_LOGIC;
46
    wr_clk : IN STD_LOGIC;
47
    rd_clk : IN STD_LOGIC;
48
    din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
49
    wr_en : IN STD_LOGIC;
50
    rd_en : IN STD_LOGIC;
51
    dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
52
    full : OUT STD_LOGIC;
53
    empty : OUT STD_LOGIC;
54
    prog_full : OUT STD_LOGIC;
55
    prog_empty : OUT STD_LOGIC
56
  );
57
END COMPONENT;
58
-- COMP_TAG_END ------ End COMPONENT Declaration ------------
59
 
60
-- The following code must appear in the VHDL architecture
61
-- body. Substitute your own instance name and net names.
62
 
63
------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
64
your_instance_name : fifo
65
  PORT MAP (
66
    rst => rst,
67
    wr_clk => wr_clk,
68
    rd_clk => rd_clk,
69
    din => din,
70
    wr_en => wr_en,
71
    rd_en => rd_en,
72
    dout => dout,
73
    full => full,
74
    empty => empty,
75
    prog_full => prog_full,
76
    prog_empty => prog_empty
77
  );
78
-- INST_TAG_END ------ End INSTANTIATION Template ------------
79
 
80
-- You must compile the wrapper file fifo.vhd when simulating
81
-- the core, fifo. When compiling the wrapper file, be sure to
82
-- reference the XilinxCoreLib VHDL simulation library. For detailed
83
-- instructions, please refer to the "CORE Generator Help".
84
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.