OpenCores
URL https://opencores.org/ocsvn/nova/nova/trunk

Subversion Repositories nova

[/] [nova/] [tags/] [Start/] [src/] [Beha_BitStream_ram.v] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eexuke
//--------------------------------------------------------------------------------------------------
2
// Design    : nova
3
// Author(s) : Ke Xu
4
// Email           : eexuke@yahoo.com
5
// File      : Beha_BitStream_ram.v
6
// Generated : May 16,2005
7
// Copyright (C) 2008 Ke Xu                
8
//-------------------------------------------------------------------------------------------------
9
// Description 
10
// Behavior RAM for encoded bitstream storing, NOT synthesizable
11
//-------------------------------------------------------------------------------------------------
12
 
13
// synopsys translate_off
14
`include "timescale.v"
15
// synopsys translate_on
16
`include "nova_defines.v"
17
 
18
module Beha_BitStream_ram(clk,BitStream_ram_ren,BitStream_ram_addr,BitStream_ram_data);
19
        input clk;
20
        input BitStream_ram_ren;
21
        input [16:0] BitStream_ram_addr;
22
 
23
        output [15:0] BitStream_ram_data;
24
 
25
        reg [15:0] BitStream_ram_data;
26
        reg [15:0] BitStream_ram[0:`Beha_Bitstream_ram_size];
27
 
28
        initial
29
                begin
30
                        $readmemh("D:/nova_opencores/bin2hex/akiyo300_1ref.txt",BitStream_ram);
31
                end
32
 
33
        always @ (posedge clk)
34
                if (BitStream_ram_ren == 0)
35
                        BitStream_ram_data <= #2 BitStream_ram[BitStream_ram_addr];
36
 
37
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.