OpenCores
URL https://opencores.org/ocsvn/nova/nova/trunk

Subversion Repositories nova

[/] [nova/] [tags/] [Start/] [src/] [Inter_pred_reg_ctrl.v] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eexuke
//--------------------------------------------------------------------------------------------------
2
// Design    : nova
3
// Author(s) : Ke Xu
4
// Email           : eexuke@yahoo.com
5
// File      : Inter_pred_reg_ctrl.v
6
// Generated : Oct 17, 2005
7
// Copyright (C) 2008 Ke Xu                
8
//-------------------------------------------------------------------------------------------------
9
// Description 
10
// Prepare the appropriate registers for Inter prediction (luma & chroma) 
11
// Including padding
12
//-------------------------------------------------------------------------------------------------
13
 
14
// synopsys translate_off
15
`include "timescale.v"
16
// synopsys translate_on
17
`include "nova_defines.v"
18
 
19
module Inter_pred_reg_ctrl (gclk_Inter_ref_rf,reset_n,blk4x4_inter_preload_counter,ref_frame_RAM_dout,
20
        IsInterLuma,IsInterChroma,xInt_addr_unclip,xInt_org_unclip_1to0,pos_FracL,xFracC,yFracC,mv_below8x8_curr,
21
 
22
        Inter_ref_00_00,Inter_ref_01_00,Inter_ref_02_00,Inter_ref_03_00,Inter_ref_04_00,Inter_ref_05_00,
23
        Inter_ref_06_00,Inter_ref_07_00,Inter_ref_08_00,Inter_ref_09_00,Inter_ref_10_00,Inter_ref_11_00,Inter_ref_12_00,
24
        Inter_ref_00_01,Inter_ref_01_01,Inter_ref_02_01,Inter_ref_03_01,Inter_ref_04_01,Inter_ref_05_01,
25
        Inter_ref_06_01,Inter_ref_07_01,Inter_ref_08_01,Inter_ref_09_01,Inter_ref_10_01,Inter_ref_11_01,Inter_ref_12_01,
26
        Inter_ref_00_02,Inter_ref_01_02,Inter_ref_02_02,Inter_ref_03_02,Inter_ref_04_02,Inter_ref_05_02,
27
        Inter_ref_06_02,Inter_ref_07_02,Inter_ref_08_02,Inter_ref_09_02,Inter_ref_10_02,Inter_ref_11_02,Inter_ref_12_02,
28
        Inter_ref_00_03,Inter_ref_01_03,Inter_ref_02_03,Inter_ref_03_03,Inter_ref_04_03,Inter_ref_05_03,
29
        Inter_ref_06_03,Inter_ref_07_03,Inter_ref_08_03,Inter_ref_09_03,Inter_ref_10_03,Inter_ref_11_03,Inter_ref_12_03,
30
        Inter_ref_00_04,Inter_ref_01_04,Inter_ref_02_04,Inter_ref_03_04,Inter_ref_04_04,Inter_ref_05_04,
31
        Inter_ref_06_04,Inter_ref_07_04,Inter_ref_08_04,Inter_ref_09_04,Inter_ref_10_04,Inter_ref_11_04,Inter_ref_12_04,
32
        Inter_ref_00_05,Inter_ref_01_05,Inter_ref_02_05,Inter_ref_03_05,Inter_ref_04_05,Inter_ref_05_05,
33
        Inter_ref_06_05,Inter_ref_07_05,Inter_ref_08_05,Inter_ref_09_05,Inter_ref_10_05,Inter_ref_11_05,Inter_ref_12_05,
34
        Inter_ref_00_06,Inter_ref_01_06,Inter_ref_02_06,Inter_ref_03_06,Inter_ref_04_06,Inter_ref_05_06,
35
        Inter_ref_06_06,Inter_ref_07_06,Inter_ref_08_06,Inter_ref_09_06,Inter_ref_10_06,Inter_ref_11_06,Inter_ref_12_06,
36
        Inter_ref_00_07,Inter_ref_01_07,Inter_ref_02_07,Inter_ref_03_07,Inter_ref_04_07,Inter_ref_05_07,
37
        Inter_ref_06_07,Inter_ref_07_07,Inter_ref_08_07,Inter_ref_09_07,Inter_ref_10_07,Inter_ref_11_07,Inter_ref_12_07,
38
        Inter_ref_00_08,Inter_ref_01_08,Inter_ref_02_08,Inter_ref_03_08,Inter_ref_04_08,Inter_ref_05_08,
39
        Inter_ref_06_08,Inter_ref_07_08,Inter_ref_08_08,Inter_ref_09_08,Inter_ref_10_08,Inter_ref_11_08,Inter_ref_12_08,
40
        Inter_ref_00_09,Inter_ref_01_09,Inter_ref_02_09,Inter_ref_03_09,Inter_ref_04_09,Inter_ref_05_09,
41
        Inter_ref_06_09,Inter_ref_07_09,Inter_ref_08_09,Inter_ref_09_09,Inter_ref_10_09,Inter_ref_11_09,Inter_ref_12_09,
42
        Inter_ref_00_10,Inter_ref_01_10,Inter_ref_02_10,Inter_ref_03_10,Inter_ref_04_10,Inter_ref_05_10,
43
        Inter_ref_06_10,Inter_ref_07_10,Inter_ref_08_10,Inter_ref_09_10,Inter_ref_10_10,Inter_ref_11_10,Inter_ref_12_10,
44
        Inter_ref_00_11,Inter_ref_01_11,Inter_ref_02_11,Inter_ref_03_11,Inter_ref_04_11,Inter_ref_05_11,
45
        Inter_ref_06_11,Inter_ref_07_11,Inter_ref_08_11,Inter_ref_09_11,Inter_ref_10_11,Inter_ref_11_11,Inter_ref_12_11,
46
        Inter_ref_00_12,Inter_ref_01_12,Inter_ref_02_12,Inter_ref_03_12,Inter_ref_04_12,Inter_ref_05_12,
47
        Inter_ref_06_12,Inter_ref_07_12,Inter_ref_08_12,Inter_ref_09_12,Inter_ref_10_12,Inter_ref_11_12,Inter_ref_12_12);
48
 
49
        input gclk_Inter_ref_rf;
50
        input reset_n;
51
        input [5:0] blk4x4_inter_preload_counter;
52
        input [31:0] ref_frame_RAM_dout;
53
        input IsInterLuma,IsInterChroma;
54
        input [8:0] xInt_addr_unclip;
55
        input [1:0] xInt_org_unclip_1to0;
56
        input [3:0] pos_FracL;
57
        input [2:0] xFracC,yFracC;
58
        input mv_below8x8_curr;
59
 
60
        output [7:0] Inter_ref_00_00,Inter_ref_01_00,Inter_ref_02_00,Inter_ref_03_00,Inter_ref_04_00,Inter_ref_05_00;
61
        output [7:0] Inter_ref_06_00,Inter_ref_07_00,Inter_ref_08_00,Inter_ref_09_00,Inter_ref_10_00,Inter_ref_11_00,Inter_ref_12_00;
62
        output [7:0] Inter_ref_00_01,Inter_ref_01_01,Inter_ref_02_01,Inter_ref_03_01,Inter_ref_04_01,Inter_ref_05_01;
63
        output [7:0] Inter_ref_06_01,Inter_ref_07_01,Inter_ref_08_01,Inter_ref_09_01,Inter_ref_10_01,Inter_ref_11_01,Inter_ref_12_01;
64
        output [7:0] Inter_ref_00_02,Inter_ref_01_02,Inter_ref_02_02,Inter_ref_03_02,Inter_ref_04_02,Inter_ref_05_02;
65
        output [7:0] Inter_ref_06_02,Inter_ref_07_02,Inter_ref_08_02,Inter_ref_09_02,Inter_ref_10_02,Inter_ref_11_02,Inter_ref_12_02;
66
        output [7:0] Inter_ref_00_03,Inter_ref_01_03,Inter_ref_02_03,Inter_ref_03_03,Inter_ref_04_03,Inter_ref_05_03;
67
        output [7:0] Inter_ref_06_03,Inter_ref_07_03,Inter_ref_08_03,Inter_ref_09_03,Inter_ref_10_03,Inter_ref_11_03,Inter_ref_12_03;
68
        output [7:0] Inter_ref_00_04,Inter_ref_01_04,Inter_ref_02_04,Inter_ref_03_04,Inter_ref_04_04,Inter_ref_05_04;
69
        output [7:0] Inter_ref_06_04,Inter_ref_07_04,Inter_ref_08_04,Inter_ref_09_04,Inter_ref_10_04,Inter_ref_11_04,Inter_ref_12_04;
70
        output [7:0] Inter_ref_00_05,Inter_ref_01_05,Inter_ref_02_05,Inter_ref_03_05,Inter_ref_04_05,Inter_ref_05_05;
71
        output [7:0] Inter_ref_06_05,Inter_ref_07_05,Inter_ref_08_05,Inter_ref_09_05,Inter_ref_10_05,Inter_ref_11_05,Inter_ref_12_05;
72
        output [7:0] Inter_ref_00_06,Inter_ref_01_06,Inter_ref_02_06,Inter_ref_03_06,Inter_ref_04_06,Inter_ref_05_06;
73
        output [7:0] Inter_ref_06_06,Inter_ref_07_06,Inter_ref_08_06,Inter_ref_09_06,Inter_ref_10_06,Inter_ref_11_06,Inter_ref_12_06;
74
        output [7:0] Inter_ref_00_07,Inter_ref_01_07,Inter_ref_02_07,Inter_ref_03_07,Inter_ref_04_07,Inter_ref_05_07;
75
        output [7:0] Inter_ref_06_07,Inter_ref_07_07,Inter_ref_08_07,Inter_ref_09_07,Inter_ref_10_07,Inter_ref_11_07,Inter_ref_12_07;
76
        output [7:0] Inter_ref_00_08,Inter_ref_01_08,Inter_ref_02_08,Inter_ref_03_08,Inter_ref_04_08,Inter_ref_05_08;
77
        output [7:0] Inter_ref_06_08,Inter_ref_07_08,Inter_ref_08_08,Inter_ref_09_08,Inter_ref_10_08,Inter_ref_11_08,Inter_ref_12_08;
78
        output [7:0] Inter_ref_00_09,Inter_ref_01_09,Inter_ref_02_09,Inter_ref_03_09,Inter_ref_04_09,Inter_ref_05_09;
79
        output [7:0] Inter_ref_06_09,Inter_ref_07_09,Inter_ref_08_09,Inter_ref_09_09,Inter_ref_10_09,Inter_ref_11_09,Inter_ref_12_09;
80
        output [7:0] Inter_ref_00_10,Inter_ref_01_10,Inter_ref_02_10,Inter_ref_03_10,Inter_ref_04_10,Inter_ref_05_10;
81
        output [7:0] Inter_ref_06_10,Inter_ref_07_10,Inter_ref_08_10,Inter_ref_09_10,Inter_ref_10_10,Inter_ref_11_10,Inter_ref_12_10;
82
        output [7:0] Inter_ref_00_11,Inter_ref_01_11,Inter_ref_02_11,Inter_ref_03_11,Inter_ref_04_11,Inter_ref_05_11;
83
        output [7:0] Inter_ref_06_11,Inter_ref_07_11,Inter_ref_08_11,Inter_ref_09_11,Inter_ref_10_11,Inter_ref_11_11,Inter_ref_12_11;
84
        output [7:0] Inter_ref_00_12,Inter_ref_01_12,Inter_ref_02_12,Inter_ref_03_12,Inter_ref_04_12,Inter_ref_05_12;
85
        output [7:0] Inter_ref_06_12,Inter_ref_07_12,Inter_ref_08_12,Inter_ref_09_12,Inter_ref_10_12,Inter_ref_11_12,Inter_ref_12_12;
86
 
87
        reg [7:0] Inter_ref_00_00,Inter_ref_01_00,Inter_ref_02_00,Inter_ref_03_00,Inter_ref_04_00,Inter_ref_05_00;
88
        reg [7:0] Inter_ref_06_00,Inter_ref_07_00,Inter_ref_08_00,Inter_ref_09_00,Inter_ref_10_00,Inter_ref_11_00,Inter_ref_12_00;
89
        reg [7:0] Inter_ref_00_01,Inter_ref_01_01,Inter_ref_02_01,Inter_ref_03_01,Inter_ref_04_01,Inter_ref_05_01;
90
        reg [7:0] Inter_ref_06_01,Inter_ref_07_01,Inter_ref_08_01,Inter_ref_09_01,Inter_ref_10_01,Inter_ref_11_01,Inter_ref_12_01;
91
        reg [7:0] Inter_ref_00_02,Inter_ref_01_02,Inter_ref_02_02,Inter_ref_03_02,Inter_ref_04_02,Inter_ref_05_02;
92
        reg [7:0] Inter_ref_06_02,Inter_ref_07_02,Inter_ref_08_02,Inter_ref_09_02,Inter_ref_10_02,Inter_ref_11_02,Inter_ref_12_02;
93
        reg [7:0] Inter_ref_00_03,Inter_ref_01_03,Inter_ref_02_03,Inter_ref_03_03,Inter_ref_04_03,Inter_ref_05_03;
94
        reg [7:0] Inter_ref_06_03,Inter_ref_07_03,Inter_ref_08_03,Inter_ref_09_03,Inter_ref_10_03,Inter_ref_11_03,Inter_ref_12_03;
95
        reg [7:0] Inter_ref_00_04,Inter_ref_01_04,Inter_ref_02_04,Inter_ref_03_04,Inter_ref_04_04,Inter_ref_05_04;
96
        reg [7:0] Inter_ref_06_04,Inter_ref_07_04,Inter_ref_08_04,Inter_ref_09_04,Inter_ref_10_04,Inter_ref_11_04,Inter_ref_12_04;
97
        reg [7:0] Inter_ref_00_05,Inter_ref_01_05,Inter_ref_02_05,Inter_ref_03_05,Inter_ref_04_05,Inter_ref_05_05;
98
        reg [7:0] Inter_ref_06_05,Inter_ref_07_05,Inter_ref_08_05,Inter_ref_09_05,Inter_ref_10_05,Inter_ref_11_05,Inter_ref_12_05;
99
        reg [7:0] Inter_ref_00_06,Inter_ref_01_06,Inter_ref_02_06,Inter_ref_03_06,Inter_ref_04_06,Inter_ref_05_06;
100
        reg [7:0] Inter_ref_06_06,Inter_ref_07_06,Inter_ref_08_06,Inter_ref_09_06,Inter_ref_10_06,Inter_ref_11_06,Inter_ref_12_06;
101
        reg [7:0] Inter_ref_00_07,Inter_ref_01_07,Inter_ref_02_07,Inter_ref_03_07,Inter_ref_04_07,Inter_ref_05_07;
102
        reg [7:0] Inter_ref_06_07,Inter_ref_07_07,Inter_ref_08_07,Inter_ref_09_07,Inter_ref_10_07,Inter_ref_11_07,Inter_ref_12_07;
103
        reg [7:0] Inter_ref_00_08,Inter_ref_01_08,Inter_ref_02_08,Inter_ref_03_08,Inter_ref_04_08,Inter_ref_05_08;
104
        reg [7:0] Inter_ref_06_08,Inter_ref_07_08,Inter_ref_08_08,Inter_ref_09_08,Inter_ref_10_08,Inter_ref_11_08,Inter_ref_12_08;
105
        reg [7:0] Inter_ref_00_09,Inter_ref_01_09,Inter_ref_02_09,Inter_ref_03_09,Inter_ref_04_09,Inter_ref_05_09;
106
        reg [7:0] Inter_ref_06_09,Inter_ref_07_09,Inter_ref_08_09,Inter_ref_09_09,Inter_ref_10_09,Inter_ref_11_09,Inter_ref_12_09;
107
        reg [7:0] Inter_ref_00_10,Inter_ref_01_10,Inter_ref_02_10,Inter_ref_03_10,Inter_ref_04_10,Inter_ref_05_10;
108
        reg [7:0] Inter_ref_06_10,Inter_ref_07_10,Inter_ref_08_10,Inter_ref_09_10,Inter_ref_10_10,Inter_ref_11_10,Inter_ref_12_10;
109
        reg [7:0] Inter_ref_00_11,Inter_ref_01_11,Inter_ref_02_11,Inter_ref_03_11,Inter_ref_04_11,Inter_ref_05_11;
110
        reg [7:0] Inter_ref_06_11,Inter_ref_07_11,Inter_ref_08_11,Inter_ref_09_11,Inter_ref_10_11,Inter_ref_11_11,Inter_ref_12_11;
111
        reg [7:0] Inter_ref_00_12,Inter_ref_01_12,Inter_ref_02_12,Inter_ref_03_12,Inter_ref_04_12,Inter_ref_05_12;
112
        reg [7:0] Inter_ref_06_12,Inter_ref_07_12,Inter_ref_08_12,Inter_ref_09_12,Inter_ref_10_12,Inter_ref_11_12,Inter_ref_12_12;
113
 
114
        //-------------------------------------------------------------------------
115
        //out of bound padding
116
        //-------------------------------------------------------------------------
117
        //In original version where ext_frame_RAM is read async,no need to latch xInt_addr_unclip
118
        //since it is used here in the same cycle as it is generated in Inter_pred_pipeline module.
119
        //However,when ext_frame_RAM is changed to sync read,xInt_addr_unclip will be used one cyle later. 
120
        reg [8:0] xInt_addr_unclip_reg;
121
        always @ (posedge gclk_Inter_ref_rf or negedge reset_n)
122
                if (reset_n == 1'b0)
123
                        xInt_addr_unclip_reg <= 0;
124
                else
125
                        xInt_addr_unclip_reg <= xInt_addr_unclip;
126
 
127
        reg [31:0] RefFrameOutPadding;
128
        always @ (xInt_addr_unclip_reg or ref_frame_RAM_dout or IsInterLuma or IsInterChroma)
129
                if (xInt_addr_unclip_reg[8] == 1'b1)                                                                    //out of left bound
130
                        RefFrameOutPadding <= {ref_frame_RAM_dout[7:0],ref_frame_RAM_dout[7:0],
131
                                                                   ref_frame_RAM_dout[7:0],ref_frame_RAM_dout[7:0]};
132
                else
133
                        begin
134
                                if ((IsInterLuma   && xInt_addr_unclip_reg[7:2] > 6'b101011) ||  //out of right bound
135
                                        (IsInterChroma && xInt_addr_unclip_reg[7:2] > 6'b010101))
136
                                        RefFrameOutPadding <= {ref_frame_RAM_dout[31:24],ref_frame_RAM_dout[31:24],
137
                                                                                   ref_frame_RAM_dout[31:24],ref_frame_RAM_dout[31:24]};
138
                                else
139
                                        RefFrameOutPadding <= ref_frame_RAM_dout;
140
                        end
141
        //-------------------------------------------------------------------------
142
        //Inter_ref_00_00 ~ Inter_ref_12_12
143
        //-------------------------------------------------------------------------
144
        always @ (posedge gclk_Inter_ref_rf or negedge reset_n)
145
                if (reset_n == 0)
146
                        begin
147
                                Inter_ref_00_00 <= 0;Inter_ref_01_00 <= 0;Inter_ref_02_00 <= 0;Inter_ref_03_00 <= 0;
148
                                Inter_ref_04_00 <= 0;Inter_ref_05_00 <= 0;Inter_ref_06_00 <= 0;Inter_ref_07_00 <= 0;
149
                                Inter_ref_08_00 <= 0;Inter_ref_09_00 <= 0;Inter_ref_10_00 <= 0;Inter_ref_11_00 <= 0;Inter_ref_12_00 <= 0;
150
                                Inter_ref_00_01 <= 0;Inter_ref_01_01 <= 0;Inter_ref_02_01 <= 0;Inter_ref_03_01 <= 0;
151
                                Inter_ref_04_01 <= 0;Inter_ref_05_01 <= 0;Inter_ref_06_01 <= 0;Inter_ref_07_01 <= 0;
152
                                Inter_ref_08_01 <= 0;Inter_ref_09_01 <= 0;Inter_ref_10_01 <= 0;Inter_ref_11_01 <= 0;Inter_ref_12_01 <= 0;
153
                                Inter_ref_00_02 <= 0;Inter_ref_01_02 <= 0;Inter_ref_02_02 <= 0;Inter_ref_03_02 <= 0;
154
                                Inter_ref_04_02 <= 0;Inter_ref_05_02 <= 0;Inter_ref_06_02 <= 0;Inter_ref_07_02 <= 0;
155
                                Inter_ref_08_02 <= 0;Inter_ref_09_02 <= 0;Inter_ref_10_02 <= 0;Inter_ref_11_02 <= 0;Inter_ref_12_02 <= 0;
156
                                Inter_ref_00_03 <= 0;Inter_ref_01_03 <= 0;Inter_ref_02_03 <= 0;Inter_ref_03_03 <= 0;
157
                                Inter_ref_04_03 <= 0;Inter_ref_05_03 <= 0;Inter_ref_06_03 <= 0;Inter_ref_07_03 <= 0;
158
                                Inter_ref_08_03 <= 0;Inter_ref_09_03 <= 0;Inter_ref_10_03 <= 0;Inter_ref_11_03 <= 0;Inter_ref_12_03 <= 0;
159
                                Inter_ref_00_04 <= 0;Inter_ref_01_04 <= 0;Inter_ref_02_04 <= 0;Inter_ref_03_04 <= 0;
160
                                Inter_ref_04_04 <= 0;Inter_ref_05_04 <= 0;Inter_ref_06_04 <= 0;Inter_ref_07_04 <= 0;
161
                                Inter_ref_08_04 <= 0;Inter_ref_09_04 <= 0;Inter_ref_10_04 <= 0;Inter_ref_11_04 <= 0;Inter_ref_12_04 <= 0;
162
                                Inter_ref_00_05 <= 0;Inter_ref_01_05 <= 0;Inter_ref_02_05 <= 0;Inter_ref_03_05 <= 0;
163
                                Inter_ref_04_05 <= 0;Inter_ref_05_05 <= 0;Inter_ref_06_05 <= 0;Inter_ref_07_05 <= 0;
164
                                Inter_ref_08_05 <= 0;Inter_ref_09_05 <= 0;Inter_ref_10_05 <= 0;Inter_ref_11_05 <= 0;Inter_ref_12_05 <= 0;
165
                                Inter_ref_00_06 <= 0;Inter_ref_01_06 <= 0;Inter_ref_02_06 <= 0;Inter_ref_03_06 <= 0;
166
                                Inter_ref_04_06 <= 0;Inter_ref_05_06 <= 0;Inter_ref_06_06 <= 0;Inter_ref_07_06 <= 0;
167
                                Inter_ref_08_06 <= 0;Inter_ref_09_06 <= 0;Inter_ref_10_06 <= 0;Inter_ref_11_06 <= 0;Inter_ref_12_06 <= 0;
168
                                Inter_ref_00_07 <= 0;Inter_ref_01_07 <= 0;Inter_ref_02_07 <= 0;Inter_ref_03_07 <= 0;
169
                                Inter_ref_04_07 <= 0;Inter_ref_05_07 <= 0;Inter_ref_06_07 <= 0;Inter_ref_07_07 <= 0;
170
                                Inter_ref_08_07 <= 0;Inter_ref_09_07 <= 0;Inter_ref_10_07 <= 0;Inter_ref_11_07 <= 0;Inter_ref_12_07 <= 0;
171
                                Inter_ref_00_08 <= 0;Inter_ref_01_08 <= 0;Inter_ref_02_08 <= 0;Inter_ref_03_08 <= 0;
172
                                Inter_ref_04_08 <= 0;Inter_ref_05_08 <= 0;Inter_ref_06_08 <= 0;Inter_ref_07_08 <= 0;
173
                                Inter_ref_08_08 <= 0;Inter_ref_09_08 <= 0;Inter_ref_10_08 <= 0;Inter_ref_11_08 <= 0;Inter_ref_12_08 <= 0;
174
                                Inter_ref_00_09 <= 0;Inter_ref_01_09 <= 0;Inter_ref_02_09 <= 0;Inter_ref_03_09 <= 0;
175
                                Inter_ref_04_09 <= 0;Inter_ref_05_09 <= 0;Inter_ref_06_09 <= 0;Inter_ref_07_09 <= 0;
176
                                Inter_ref_08_09 <= 0;Inter_ref_09_09 <= 0;Inter_ref_10_09 <= 0;Inter_ref_11_09 <= 0;Inter_ref_12_09 <= 0;
177
                                Inter_ref_00_10 <= 0;Inter_ref_01_10 <= 0;Inter_ref_02_10 <= 0;Inter_ref_03_10 <= 0;
178
                                Inter_ref_04_10 <= 0;Inter_ref_05_10 <= 0;Inter_ref_06_10 <= 0;Inter_ref_07_10 <= 0;
179
                                Inter_ref_08_10 <= 0;Inter_ref_09_10 <= 0;Inter_ref_10_10 <= 0;Inter_ref_11_10 <= 0;Inter_ref_12_10 <= 0;
180
                                Inter_ref_00_11 <= 0;Inter_ref_01_11 <= 0;Inter_ref_02_11 <= 0;Inter_ref_03_11 <= 0;
181
                                Inter_ref_04_11 <= 0;Inter_ref_05_11 <= 0;Inter_ref_06_11 <= 0;Inter_ref_07_11 <= 0;
182
                                Inter_ref_08_11 <= 0;Inter_ref_09_11 <= 0;Inter_ref_10_11 <= 0;Inter_ref_11_11 <= 0;Inter_ref_12_11 <= 0;
183
                                Inter_ref_00_12 <= 0;Inter_ref_01_12 <= 0;Inter_ref_02_12 <= 0;Inter_ref_03_12 <= 0;
184
                                Inter_ref_04_12 <= 0;Inter_ref_05_12 <= 0;Inter_ref_06_12 <= 0;Inter_ref_07_12 <= 0;
185
                                Inter_ref_08_12 <= 0;Inter_ref_09_12 <= 0;Inter_ref_10_12 <= 0;Inter_ref_11_12 <= 0;Inter_ref_12_12 <= 0;
186
                        end
187
                else if (IsInterLuma && blk4x4_inter_preload_counter != 0)
188
                        case (mv_below8x8_curr)
189
                                1'b0:
190
                                case (pos_FracL)
191
                                        `pos_f,`pos_q,`pos_i,`pos_k,`pos_j:
192
                                        case (xInt_org_unclip_1to0)
193
                                                2'b00:
194
                                                case (blk4x4_inter_preload_counter)
195
                                                        6'd52:{Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding[31:16];
196
                                                        6'd51:{Inter_ref_05_00,Inter_ref_04_00,Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding;
197
                                                        6'd50:{Inter_ref_09_00,Inter_ref_08_00,Inter_ref_07_00,Inter_ref_06_00} <= RefFrameOutPadding;
198
                                                        6'd49:{Inter_ref_12_00,Inter_ref_11_00,Inter_ref_10_00}                                 <= RefFrameOutPadding[23:0];
199
                                                        6'd48:{Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding[31:16];
200
                                                        6'd47:{Inter_ref_05_01,Inter_ref_04_01,Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding;
201
                                                        6'd46:{Inter_ref_09_01,Inter_ref_08_01,Inter_ref_07_01,Inter_ref_06_01} <= RefFrameOutPadding;
202
                                                        6'd45:{Inter_ref_12_01,Inter_ref_11_01,Inter_ref_10_01}                                 <= RefFrameOutPadding[23:0];
203
                                                        6'd44:{Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:16];
204
                                                        6'd43:{Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding;
205
                                                        6'd42:{Inter_ref_09_02,Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02} <= RefFrameOutPadding;
206
                                                        6'd41:{Inter_ref_12_02,Inter_ref_11_02,Inter_ref_10_02}                                 <= RefFrameOutPadding[23:0];
207
                                                        6'd40:{Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:16];
208
                                                        6'd39:{Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding;
209
                                                        6'd38:{Inter_ref_09_03,Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03} <= RefFrameOutPadding;
210
                                                        6'd37:{Inter_ref_12_03,Inter_ref_11_03,Inter_ref_10_03}                                 <= RefFrameOutPadding[23:0];
211
                                                        6'd36:{Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding[31:16];
212
                                                        6'd35:{Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding;
213
                                                        6'd34:{Inter_ref_09_04,Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04} <= RefFrameOutPadding;
214
                                                        6'd33:{Inter_ref_12_04,Inter_ref_11_04,Inter_ref_10_04}                                 <= RefFrameOutPadding[23:0];
215
                                                        6'd32:{Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding[31:16];
216
                                                        6'd31:{Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding;
217
                                                        6'd30:{Inter_ref_09_05,Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05} <= RefFrameOutPadding;
218
                                                        6'd29:{Inter_ref_12_05,Inter_ref_11_05,Inter_ref_10_05}                                 <= RefFrameOutPadding[23:0];
219
                                                        6'd28:{Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding[31:16];
220
                                                        6'd27:{Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06} <= RefFrameOutPadding;
221
                                                        6'd26:{Inter_ref_09_06,Inter_ref_08_06,Inter_ref_07_06,Inter_ref_06_06} <= RefFrameOutPadding;
222
                                                        6'd25:{Inter_ref_12_06,Inter_ref_11_06,Inter_ref_10_06}                                 <= RefFrameOutPadding[23:0];
223
                                                        6'd24:{Inter_ref_01_07,Inter_ref_00_07} <= RefFrameOutPadding[31:16];
224
                                                        6'd23:{Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding;
225
                                                        6'd22:{Inter_ref_09_07,Inter_ref_08_07,Inter_ref_07_07,Inter_ref_06_07} <= RefFrameOutPadding;
226
                                                        6'd21:{Inter_ref_12_07,Inter_ref_11_07,Inter_ref_10_07}                                 <= RefFrameOutPadding[23:0];
227
                                                        6'd20:{Inter_ref_01_08,Inter_ref_00_08} <= RefFrameOutPadding[31:16];
228
                                                        6'd19:{Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding;
229
                                                        6'd18:{Inter_ref_09_08,Inter_ref_08_08,Inter_ref_07_08,Inter_ref_06_08} <= RefFrameOutPadding;
230
                                                        6'd17:{Inter_ref_12_08,Inter_ref_11_08,Inter_ref_10_08}                                 <= RefFrameOutPadding[23:0];
231
                                                        6'd16:{Inter_ref_01_09,Inter_ref_00_09} <= RefFrameOutPadding[31:16];
232
                                                        6'd15:{Inter_ref_05_09,Inter_ref_04_09,Inter_ref_03_09,Inter_ref_02_09} <= RefFrameOutPadding;
233
                                                        6'd14:{Inter_ref_09_09,Inter_ref_08_09,Inter_ref_07_09,Inter_ref_06_09} <= RefFrameOutPadding;
234
                                                        6'd13:{Inter_ref_12_09,Inter_ref_11_09,Inter_ref_10_09}                                 <= RefFrameOutPadding[23:0];
235
                                                        6'd12:{Inter_ref_01_10,Inter_ref_00_10} <= RefFrameOutPadding[31:16];
236
                                                        6'd11:{Inter_ref_05_10,Inter_ref_04_10,Inter_ref_03_10,Inter_ref_02_10} <= RefFrameOutPadding;
237
                                                        6'd10:{Inter_ref_09_10,Inter_ref_08_10,Inter_ref_07_10,Inter_ref_06_10} <= RefFrameOutPadding;
238
                                                        6'd9 :{Inter_ref_12_10,Inter_ref_11_10,Inter_ref_10_10}                                 <= RefFrameOutPadding[23:0];
239
                                                        6'd8 :{Inter_ref_01_11,Inter_ref_00_11} <= RefFrameOutPadding[31:16];
240
                                                        6'd7 :{Inter_ref_05_11,Inter_ref_04_11,Inter_ref_03_11,Inter_ref_02_11} <= RefFrameOutPadding;
241
                                                        6'd6 :{Inter_ref_09_11,Inter_ref_08_11,Inter_ref_07_11,Inter_ref_06_11} <= RefFrameOutPadding;
242
                                                        6'd5 :{Inter_ref_12_11,Inter_ref_11_11,Inter_ref_10_11}                                 <= RefFrameOutPadding[23:0];
243
                                                        6'd4 :{Inter_ref_01_12,Inter_ref_00_12} <= RefFrameOutPadding[31:16];
244
                                                        6'd3 :{Inter_ref_05_12,Inter_ref_04_12,Inter_ref_03_12,Inter_ref_02_12} <= RefFrameOutPadding;
245
                                                        6'd2 :{Inter_ref_09_12,Inter_ref_08_12,Inter_ref_07_12,Inter_ref_06_12} <= RefFrameOutPadding;
246
                                                        6'd1 :{Inter_ref_12_12,Inter_ref_11_12,Inter_ref_10_12}                                 <= RefFrameOutPadding[23:0];
247
                                                endcase
248
                                                2'b01:
249
                                                case (blk4x4_inter_preload_counter)
250
                                                        6'd52:Inter_ref_00_00 <= RefFrameOutPadding[31:24];
251
                                                        6'd51:{Inter_ref_04_00,Inter_ref_03_00,Inter_ref_02_00,Inter_ref_01_00} <= RefFrameOutPadding;
252
                                                        6'd50:{Inter_ref_08_00,Inter_ref_07_00,Inter_ref_06_00,Inter_ref_05_00} <= RefFrameOutPadding;
253
                                                        6'd49:{Inter_ref_12_00,Inter_ref_11_00,Inter_ref_10_00,Inter_ref_09_00} <= RefFrameOutPadding;
254
                                                        6'd48:Inter_ref_00_01 <= RefFrameOutPadding[31:24];
255
                                                        6'd47:{Inter_ref_04_01,Inter_ref_03_01,Inter_ref_02_01,Inter_ref_01_01} <= RefFrameOutPadding;
256
                                                        6'd46:{Inter_ref_08_01,Inter_ref_07_01,Inter_ref_06_01,Inter_ref_05_01} <= RefFrameOutPadding;
257
                                                        6'd45:{Inter_ref_12_01,Inter_ref_11_01,Inter_ref_10_01,Inter_ref_09_01} <= RefFrameOutPadding;
258
                                                        6'd44:Inter_ref_00_02 <= RefFrameOutPadding[31:24];
259
                                                        6'd43:{Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02} <= RefFrameOutPadding;
260
                                                        6'd42:{Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02} <= RefFrameOutPadding;
261
                                                        6'd41:{Inter_ref_12_02,Inter_ref_11_02,Inter_ref_10_02,Inter_ref_09_02} <= RefFrameOutPadding;
262
                                                        6'd40:Inter_ref_00_03 <= RefFrameOutPadding[31:24];
263
                                                        6'd39:{Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03} <= RefFrameOutPadding;
264
                                                        6'd38:{Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03} <= RefFrameOutPadding;
265
                                                        6'd37:{Inter_ref_12_03,Inter_ref_11_03,Inter_ref_10_03,Inter_ref_09_03} <= RefFrameOutPadding;
266
                                                        6'd36:Inter_ref_00_04 <= RefFrameOutPadding[31:24];
267
                                                        6'd35:{Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04,Inter_ref_01_04} <= RefFrameOutPadding;
268
                                                        6'd34:{Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04} <= RefFrameOutPadding;
269
                                                        6'd33:{Inter_ref_12_04,Inter_ref_11_04,Inter_ref_10_04,Inter_ref_09_04} <= RefFrameOutPadding;
270
                                                        6'd32:Inter_ref_00_05 <= RefFrameOutPadding[31:24];
271
                                                        6'd31:{Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05,Inter_ref_01_05} <= RefFrameOutPadding;
272
                                                        6'd30:{Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05} <= RefFrameOutPadding;
273
                                                        6'd29:{Inter_ref_12_05,Inter_ref_11_05,Inter_ref_10_05,Inter_ref_09_05} <= RefFrameOutPadding;
274
                                                        6'd28:Inter_ref_00_06 <= RefFrameOutPadding[31:24];
275
                                                        6'd27:{Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06,Inter_ref_01_06} <= RefFrameOutPadding;
276
                                                        6'd26:{Inter_ref_08_06,Inter_ref_07_06,Inter_ref_06_06,Inter_ref_05_06} <= RefFrameOutPadding;
277
                                                        6'd25:{Inter_ref_12_06,Inter_ref_11_06,Inter_ref_10_06,Inter_ref_09_06} <= RefFrameOutPadding;
278
                                                        6'd24:Inter_ref_00_07 <= RefFrameOutPadding[31:24];
279
                                                        6'd23:{Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07,Inter_ref_01_07} <= RefFrameOutPadding;
280
                                                        6'd22:{Inter_ref_08_07,Inter_ref_07_07,Inter_ref_06_07,Inter_ref_05_07} <= RefFrameOutPadding;
281
                                                        6'd21:{Inter_ref_12_07,Inter_ref_11_07,Inter_ref_10_07,Inter_ref_09_07} <= RefFrameOutPadding;
282
                                                        6'd20:Inter_ref_00_08 <= RefFrameOutPadding[31:24];
283
                                                        6'd19:{Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08,Inter_ref_01_08} <= RefFrameOutPadding;
284
                                                        6'd18:{Inter_ref_08_08,Inter_ref_07_08,Inter_ref_06_08,Inter_ref_05_08} <= RefFrameOutPadding;
285
                                                        6'd17:{Inter_ref_12_08,Inter_ref_11_08,Inter_ref_10_08,Inter_ref_09_08} <= RefFrameOutPadding;
286
                                                        6'd16:Inter_ref_00_09 <= RefFrameOutPadding[31:24];
287
                                                        6'd15:{Inter_ref_04_09,Inter_ref_03_09,Inter_ref_02_09,Inter_ref_01_09} <= RefFrameOutPadding;
288
                                                        6'd14:{Inter_ref_08_09,Inter_ref_07_09,Inter_ref_06_09,Inter_ref_05_09} <= RefFrameOutPadding;
289
                                                        6'd13:{Inter_ref_12_09,Inter_ref_11_09,Inter_ref_10_09,Inter_ref_09_09} <= RefFrameOutPadding;
290
                                                        6'd12:Inter_ref_00_10 <= RefFrameOutPadding[31:24];
291
                                                        6'd11:{Inter_ref_04_10,Inter_ref_03_10,Inter_ref_02_10,Inter_ref_01_10} <= RefFrameOutPadding;
292
                                                        6'd10:{Inter_ref_08_10,Inter_ref_07_10,Inter_ref_06_10,Inter_ref_05_10} <= RefFrameOutPadding;
293
                                                        6'd9 :{Inter_ref_12_10,Inter_ref_11_10,Inter_ref_10_10,Inter_ref_09_10} <= RefFrameOutPadding;
294
                                                        6'd8 :Inter_ref_00_11 <= RefFrameOutPadding[31:24];
295
                                                        6'd7 :{Inter_ref_04_11,Inter_ref_03_11,Inter_ref_02_11,Inter_ref_01_11} <= RefFrameOutPadding;
296
                                                        6'd6 :{Inter_ref_08_11,Inter_ref_07_11,Inter_ref_06_11,Inter_ref_05_11} <= RefFrameOutPadding;
297
                                                        6'd5 :{Inter_ref_12_11,Inter_ref_11_11,Inter_ref_10_11,Inter_ref_09_11} <= RefFrameOutPadding;
298
                                                        6'd4 :Inter_ref_00_12 <= RefFrameOutPadding[31:24];
299
                                                        6'd3 :{Inter_ref_04_12,Inter_ref_03_12,Inter_ref_02_12,Inter_ref_01_12} <= RefFrameOutPadding;
300
                                                        6'd2 :{Inter_ref_08_12,Inter_ref_07_12,Inter_ref_06_12,Inter_ref_05_12} <= RefFrameOutPadding;
301
                                                        6'd1 :{Inter_ref_12_12,Inter_ref_11_12,Inter_ref_10_12,Inter_ref_09_12} <= RefFrameOutPadding;
302
                                                endcase
303
                                                2'b10:
304
                                                case (blk4x4_inter_preload_counter)
305
                                                        6'd52:{Inter_ref_03_00,Inter_ref_02_00,Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding;
306
                                                        6'd51:{Inter_ref_07_00,Inter_ref_06_00,Inter_ref_05_00,Inter_ref_04_00} <= RefFrameOutPadding;
307
                                                        6'd50:{Inter_ref_11_00,Inter_ref_10_00,Inter_ref_09_00,Inter_ref_08_00} <= RefFrameOutPadding;
308
                                                        6'd49:Inter_ref_12_00 <= RefFrameOutPadding[7:0];
309
                                                        6'd48:{Inter_ref_03_01,Inter_ref_02_01,Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding;
310
                                                        6'd47:{Inter_ref_07_01,Inter_ref_06_01,Inter_ref_05_01,Inter_ref_04_01} <= RefFrameOutPadding;
311
                                                        6'd46:{Inter_ref_11_01,Inter_ref_10_01,Inter_ref_09_01,Inter_ref_08_01} <= RefFrameOutPadding;
312
                                                        6'd45:Inter_ref_12_01 <= RefFrameOutPadding[7:0];
313
                                                        6'd44:{Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding;
314
                                                        6'd43:{Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02} <= RefFrameOutPadding;
315
                                                        6'd42:{Inter_ref_11_02,Inter_ref_10_02,Inter_ref_09_02,Inter_ref_08_02} <= RefFrameOutPadding;
316
                                                        6'd41:Inter_ref_12_02 <= RefFrameOutPadding[7:0];
317
                                                        6'd40:{Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding;
318
                                                        6'd39:{Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03} <= RefFrameOutPadding;
319
                                                        6'd38:{Inter_ref_11_03,Inter_ref_10_03,Inter_ref_09_03,Inter_ref_08_03} <= RefFrameOutPadding;
320
                                                        6'd37:Inter_ref_12_03 <= RefFrameOutPadding[7:0];
321
                                                        6'd36:{Inter_ref_03_04,Inter_ref_02_04,Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding;
322
                                                        6'd35:{Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04} <= RefFrameOutPadding;
323
                                                        6'd34:{Inter_ref_11_04,Inter_ref_10_04,Inter_ref_09_04,Inter_ref_08_04} <= RefFrameOutPadding;
324
                                                        6'd33:Inter_ref_12_04 <= RefFrameOutPadding[7:0];
325
                                                        6'd32:{Inter_ref_03_05,Inter_ref_02_05,Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding;
326
                                                        6'd31:{Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05} <= RefFrameOutPadding;
327
                                                        6'd30:{Inter_ref_11_05,Inter_ref_10_05,Inter_ref_09_05,Inter_ref_08_05} <= RefFrameOutPadding;
328
                                                        6'd29:Inter_ref_12_05 <= RefFrameOutPadding[7:0];
329
                                                        6'd28:{Inter_ref_03_06,Inter_ref_02_06,Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding;
330
                                                        6'd27:{Inter_ref_07_06,Inter_ref_06_06,Inter_ref_05_06,Inter_ref_04_06} <= RefFrameOutPadding;
331
                                                        6'd26:{Inter_ref_11_06,Inter_ref_10_06,Inter_ref_09_06,Inter_ref_08_06} <= RefFrameOutPadding;
332
                                                        6'd25:Inter_ref_12_06 <= RefFrameOutPadding[7:0];
333
                                                        6'd24:{Inter_ref_03_07,Inter_ref_02_07,Inter_ref_01_07,Inter_ref_00_07} <= RefFrameOutPadding;
334
                                                        6'd23:{Inter_ref_07_07,Inter_ref_06_07,Inter_ref_05_07,Inter_ref_04_07} <= RefFrameOutPadding;
335
                                                        6'd22:{Inter_ref_11_07,Inter_ref_10_07,Inter_ref_09_07,Inter_ref_08_07} <= RefFrameOutPadding;
336
                                                        6'd21:Inter_ref_12_07 <= RefFrameOutPadding[7:0];
337
                                                        6'd20:{Inter_ref_03_08,Inter_ref_02_08,Inter_ref_01_08,Inter_ref_00_08} <= RefFrameOutPadding;
338
                                                        6'd19:{Inter_ref_07_08,Inter_ref_06_08,Inter_ref_05_08,Inter_ref_04_08} <= RefFrameOutPadding;
339
                                                        6'd18:{Inter_ref_11_08,Inter_ref_10_08,Inter_ref_09_08,Inter_ref_08_08} <= RefFrameOutPadding;
340
                                                        6'd17:Inter_ref_12_08 <= RefFrameOutPadding[7:0];
341
                                                        6'd16:{Inter_ref_03_09,Inter_ref_02_09,Inter_ref_01_09,Inter_ref_00_09} <= RefFrameOutPadding;
342
                                                        6'd15:{Inter_ref_07_09,Inter_ref_06_09,Inter_ref_05_09,Inter_ref_04_09} <= RefFrameOutPadding;
343
                                                        6'd14:{Inter_ref_11_09,Inter_ref_10_09,Inter_ref_09_09,Inter_ref_08_09} <= RefFrameOutPadding;
344
                                                        6'd13:Inter_ref_12_09 <= RefFrameOutPadding[7:0];
345
                                                        6'd12:{Inter_ref_03_10,Inter_ref_02_10,Inter_ref_01_10,Inter_ref_00_10} <= RefFrameOutPadding;
346
                                                        6'd11:{Inter_ref_07_10,Inter_ref_06_10,Inter_ref_05_10,Inter_ref_04_10} <= RefFrameOutPadding;
347
                                                        6'd10:{Inter_ref_11_10,Inter_ref_10_10,Inter_ref_09_10,Inter_ref_08_10} <= RefFrameOutPadding;
348
                                                        6'd9 :Inter_ref_12_10 <= RefFrameOutPadding[7:0];
349
                                                        6'd8 :{Inter_ref_03_11,Inter_ref_02_11,Inter_ref_01_11,Inter_ref_00_11} <= RefFrameOutPadding;
350
                                                        6'd7 :{Inter_ref_07_11,Inter_ref_06_11,Inter_ref_05_11,Inter_ref_04_11} <= RefFrameOutPadding;
351
                                                        6'd6 :{Inter_ref_11_11,Inter_ref_10_11,Inter_ref_09_11,Inter_ref_08_11} <= RefFrameOutPadding;
352
                                                        6'd5 :Inter_ref_12_11 <= RefFrameOutPadding[7:0];
353
                                                        6'd4 :{Inter_ref_03_12,Inter_ref_02_12,Inter_ref_01_12,Inter_ref_00_12} <= RefFrameOutPadding;
354
                                                        6'd3 :{Inter_ref_07_12,Inter_ref_06_12,Inter_ref_05_12,Inter_ref_04_12} <= RefFrameOutPadding;
355
                                                        6'd2 :{Inter_ref_11_12,Inter_ref_10_12,Inter_ref_09_12,Inter_ref_08_12} <= RefFrameOutPadding;
356
                                                        6'd1 :Inter_ref_12_12 <= RefFrameOutPadding[7:0];
357
                                                endcase
358
                                                2'b11:
359
                                                case (blk4x4_inter_preload_counter)
360
                                                        6'd52:{Inter_ref_02_00,Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding[31:8];
361
                                                        6'd51:{Inter_ref_06_00,Inter_ref_05_00,Inter_ref_04_00,Inter_ref_03_00} <= RefFrameOutPadding;
362
                                                        6'd50:{Inter_ref_10_00,Inter_ref_09_00,Inter_ref_08_00,Inter_ref_07_00} <= RefFrameOutPadding;
363
                                                        6'd49:{Inter_ref_12_00,Inter_ref_11_00} <= RefFrameOutPadding[15:0];
364
                                                        6'd48:{Inter_ref_02_01,Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding[31:8];
365
                                                        6'd47:{Inter_ref_06_01,Inter_ref_05_01,Inter_ref_04_01,Inter_ref_03_01} <= RefFrameOutPadding;
366
                                                        6'd46:{Inter_ref_10_01,Inter_ref_09_01,Inter_ref_08_01,Inter_ref_07_01} <= RefFrameOutPadding;
367
                                                        6'd45:{Inter_ref_12_01,Inter_ref_11_01} <= RefFrameOutPadding[15:0];
368
                                                        6'd44:{Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:8];
369
                                                        6'd43:{Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02} <= RefFrameOutPadding;
370
                                                        6'd42:{Inter_ref_10_02,Inter_ref_09_02,Inter_ref_08_02,Inter_ref_07_02} <= RefFrameOutPadding;
371
                                                        6'd41:{Inter_ref_12_02,Inter_ref_11_02} <= RefFrameOutPadding[15:0];
372
                                                        6'd40:{Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:8];
373
                                                        6'd39:{Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03} <= RefFrameOutPadding;
374
                                                        6'd38:{Inter_ref_10_03,Inter_ref_09_03,Inter_ref_08_03,Inter_ref_07_03} <= RefFrameOutPadding;
375
                                                        6'd37:{Inter_ref_12_03,Inter_ref_11_03} <= RefFrameOutPadding[15:0];
376
                                                        6'd36:{Inter_ref_02_04,Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding[31:8];
377
                                                        6'd35:{Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04} <= RefFrameOutPadding;
378
                                                        6'd34:{Inter_ref_10_04,Inter_ref_09_04,Inter_ref_08_04,Inter_ref_07_04} <= RefFrameOutPadding;
379
                                                        6'd33:{Inter_ref_12_04,Inter_ref_11_04} <= RefFrameOutPadding[15:0];
380
                                                        6'd32:{Inter_ref_02_05,Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding[31:8];
381
                                                        6'd31:{Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05} <= RefFrameOutPadding;
382
                                                        6'd30:{Inter_ref_10_05,Inter_ref_09_05,Inter_ref_08_05,Inter_ref_07_05} <= RefFrameOutPadding;
383
                                                        6'd29:{Inter_ref_12_05,Inter_ref_11_05} <= RefFrameOutPadding[15:0];
384
                                                        6'd28:{Inter_ref_02_06,Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding[31:8];
385
                                                        6'd27:{Inter_ref_06_06,Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06} <= RefFrameOutPadding;
386
                                                        6'd26:{Inter_ref_10_06,Inter_ref_09_06,Inter_ref_08_06,Inter_ref_07_06} <= RefFrameOutPadding;
387
                                                        6'd25:{Inter_ref_12_06,Inter_ref_11_06} <= RefFrameOutPadding[15:0];
388
                                                        6'd24:{Inter_ref_02_07,Inter_ref_01_07,Inter_ref_00_07} <= RefFrameOutPadding[31:8];
389
                                                        6'd23:{Inter_ref_06_07,Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07} <= RefFrameOutPadding;
390
                                                        6'd22:{Inter_ref_10_07,Inter_ref_09_07,Inter_ref_08_07,Inter_ref_07_07} <= RefFrameOutPadding;
391
                                                        6'd21:{Inter_ref_12_07,Inter_ref_11_07} <= RefFrameOutPadding[15:0];
392
                                                        6'd20:{Inter_ref_02_08,Inter_ref_01_08,Inter_ref_00_08} <= RefFrameOutPadding[31:8];
393
                                                        6'd19:{Inter_ref_06_08,Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08} <= RefFrameOutPadding;
394
                                                        6'd18:{Inter_ref_10_08,Inter_ref_09_08,Inter_ref_08_08,Inter_ref_07_08} <= RefFrameOutPadding;
395
                                                        6'd17:{Inter_ref_12_08,Inter_ref_11_08} <= RefFrameOutPadding[15:0];
396
                                                        6'd16:{Inter_ref_02_09,Inter_ref_01_09,Inter_ref_00_09} <= RefFrameOutPadding[31:8];
397
                                                        6'd15:{Inter_ref_06_09,Inter_ref_05_09,Inter_ref_04_09,Inter_ref_03_09} <= RefFrameOutPadding;
398
                                                        6'd14:{Inter_ref_10_09,Inter_ref_09_09,Inter_ref_08_09,Inter_ref_07_09} <= RefFrameOutPadding;
399
                                                        6'd13:{Inter_ref_12_09,Inter_ref_11_09} <= RefFrameOutPadding[15:0];
400
                                                        6'd12:{Inter_ref_02_10,Inter_ref_01_10,Inter_ref_00_10} <= RefFrameOutPadding[31:8];
401
                                                        6'd11:{Inter_ref_06_10,Inter_ref_05_10,Inter_ref_04_10,Inter_ref_03_10} <= RefFrameOutPadding;
402
                                                        6'd10:{Inter_ref_10_10,Inter_ref_09_10,Inter_ref_08_10,Inter_ref_07_10} <= RefFrameOutPadding;
403
                                                        6'd9 :{Inter_ref_12_10,Inter_ref_11_10} <= RefFrameOutPadding[15:0];
404
                                                        6'd8 :{Inter_ref_02_11,Inter_ref_01_11,Inter_ref_00_11} <= RefFrameOutPadding[31:8];
405
                                                        6'd7 :{Inter_ref_06_11,Inter_ref_05_11,Inter_ref_04_11,Inter_ref_03_11} <= RefFrameOutPadding;
406
                                                        6'd6 :{Inter_ref_10_11,Inter_ref_09_11,Inter_ref_08_11,Inter_ref_07_11} <= RefFrameOutPadding;
407
                                                        6'd5 :{Inter_ref_12_11,Inter_ref_11_11} <= RefFrameOutPadding[15:0];
408
                                                        6'd4 :{Inter_ref_02_12,Inter_ref_01_12,Inter_ref_00_12} <= RefFrameOutPadding[31:8];
409
                                                        6'd3 :{Inter_ref_06_12,Inter_ref_05_12,Inter_ref_04_12,Inter_ref_03_12} <= RefFrameOutPadding;
410
                                                        6'd2 :{Inter_ref_10_12,Inter_ref_09_12,Inter_ref_08_12,Inter_ref_07_12} <= RefFrameOutPadding;
411
                                                        6'd1 :{Inter_ref_12_12,Inter_ref_11_12} <= RefFrameOutPadding[15:0];
412
                                                endcase
413
                                        endcase
414
                                        `pos_d,`pos_h,`pos_n:
415
                                        case (xInt_org_unclip_1to0)
416
                                                2'b00:
417
                                                case (blk4x4_inter_preload_counter)
418
                                                        6'd26:{Inter_ref_05_00,Inter_ref_04_00,Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding;
419
                                                        6'd25:{Inter_ref_09_00,Inter_ref_08_00,Inter_ref_07_00,Inter_ref_06_00} <= RefFrameOutPadding;
420
                                                        6'd24:{Inter_ref_05_01,Inter_ref_04_01,Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding;
421
                                                        6'd23:{Inter_ref_09_01,Inter_ref_08_01,Inter_ref_07_01,Inter_ref_06_01} <= RefFrameOutPadding;
422
                                                        6'd22:{Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding;
423
                                                        6'd21:{Inter_ref_09_02,Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02} <= RefFrameOutPadding;
424
                                                        6'd20:{Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding;
425
                                                        6'd19:{Inter_ref_09_03,Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03} <= RefFrameOutPadding;
426
                                                        6'd18:{Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding;
427
                                                        6'd17:{Inter_ref_09_04,Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04} <= RefFrameOutPadding;
428
                                                        6'd16:{Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding;
429
                                                        6'd15:{Inter_ref_09_05,Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05} <= RefFrameOutPadding;
430
                                                        6'd14:{Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06} <= RefFrameOutPadding;
431
                                                        6'd13:{Inter_ref_09_06,Inter_ref_08_06,Inter_ref_07_06,Inter_ref_06_06} <= RefFrameOutPadding;
432
                                                        6'd12:{Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding;
433
                                                        6'd11:{Inter_ref_09_07,Inter_ref_08_07,Inter_ref_07_07,Inter_ref_06_07} <= RefFrameOutPadding;
434
                                                        6'd10:{Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding;
435
                                                        6'd9 :{Inter_ref_09_08,Inter_ref_08_08,Inter_ref_07_08,Inter_ref_06_08} <= RefFrameOutPadding;
436
                                                        6'd8 :{Inter_ref_05_09,Inter_ref_04_09,Inter_ref_03_09,Inter_ref_02_09} <= RefFrameOutPadding;
437
                                                        6'd7 :{Inter_ref_09_09,Inter_ref_08_09,Inter_ref_07_09,Inter_ref_06_09} <= RefFrameOutPadding;
438
                                                        6'd6 :{Inter_ref_05_10,Inter_ref_04_10,Inter_ref_03_10,Inter_ref_02_10} <= RefFrameOutPadding;
439
                                                        6'd5 :{Inter_ref_09_10,Inter_ref_08_10,Inter_ref_07_10,Inter_ref_06_10} <= RefFrameOutPadding;
440
                                                        6'd4 :{Inter_ref_05_11,Inter_ref_04_11,Inter_ref_03_11,Inter_ref_02_11} <= RefFrameOutPadding;
441
                                                        6'd3 :{Inter_ref_09_11,Inter_ref_08_11,Inter_ref_07_11,Inter_ref_06_11} <= RefFrameOutPadding;
442
                                                        6'd2 :{Inter_ref_05_12,Inter_ref_04_12,Inter_ref_03_12,Inter_ref_02_12} <= RefFrameOutPadding;
443
                                                        6'd1 :{Inter_ref_09_12,Inter_ref_08_12,Inter_ref_07_12,Inter_ref_06_12} <= RefFrameOutPadding;
444
                                                endcase
445
                                                2'b01:
446
                                                case (blk4x4_inter_preload_counter)
447
                                                        6'd39:{Inter_ref_04_00,Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding[31:8];
448
                                                        6'd38:{Inter_ref_08_00,Inter_ref_07_00,Inter_ref_06_00,Inter_ref_05_00} <= RefFrameOutPadding;
449
                                                        6'd37:Inter_ref_09_00 <= RefFrameOutPadding[7:0];
450
                                                        6'd36:{Inter_ref_04_01,Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding[31:8];
451
                                                        6'd35:{Inter_ref_08_01,Inter_ref_07_01,Inter_ref_06_01,Inter_ref_05_01} <= RefFrameOutPadding;
452
                                                        6'd34:Inter_ref_09_01 <= RefFrameOutPadding[7:0];
453
                                                        6'd33:{Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding[31:8];
454
                                                        6'd32:{Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02} <= RefFrameOutPadding;
455
                                                        6'd31:Inter_ref_09_02 <= RefFrameOutPadding[7:0];
456
                                                        6'd30:{Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding[31:8];
457
                                                        6'd29:{Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03} <= RefFrameOutPadding;
458
                                                        6'd28:Inter_ref_09_03 <= RefFrameOutPadding[7:0];
459
                                                        6'd27:{Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding[31:8];
460
                                                        6'd26:{Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04} <= RefFrameOutPadding;
461
                                                        6'd25:Inter_ref_09_04 <= RefFrameOutPadding[7:0];
462
                                                        6'd24:{Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding[31:8];
463
                                                        6'd23:{Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05} <= RefFrameOutPadding;
464
                                                        6'd22:Inter_ref_09_05 <= RefFrameOutPadding[7:0];
465
                                                        6'd21:{Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06} <= RefFrameOutPadding[31:8];
466
                                                        6'd20:{Inter_ref_08_06,Inter_ref_07_06,Inter_ref_06_06,Inter_ref_05_06} <= RefFrameOutPadding;
467
                                                        6'd19:Inter_ref_09_06 <= RefFrameOutPadding[7:0];
468
                                                        6'd18:{Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding[31:8];
469
                                                        6'd17:{Inter_ref_08_07,Inter_ref_07_07,Inter_ref_06_07,Inter_ref_05_07} <= RefFrameOutPadding;
470
                                                        6'd16:Inter_ref_09_07 <= RefFrameOutPadding[7:0];
471
                                                        6'd15:{Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding[31:8];
472
                                                        6'd14:{Inter_ref_08_08,Inter_ref_07_08,Inter_ref_06_08,Inter_ref_05_08} <= RefFrameOutPadding;
473
                                                        6'd13:Inter_ref_09_08 <= RefFrameOutPadding[7:0];
474
                                                        6'd12:{Inter_ref_04_09,Inter_ref_03_09,Inter_ref_02_09} <= RefFrameOutPadding[31:8];
475
                                                        6'd11:{Inter_ref_08_09,Inter_ref_07_09,Inter_ref_06_09,Inter_ref_05_09} <= RefFrameOutPadding;
476
                                                        6'd10:Inter_ref_09_09 <= RefFrameOutPadding[7:0];
477
                                                        6'd9 :{Inter_ref_04_10,Inter_ref_03_10,Inter_ref_02_10} <= RefFrameOutPadding[31:8];
478
                                                        6'd8 :{Inter_ref_08_10,Inter_ref_07_10,Inter_ref_06_10,Inter_ref_05_10} <= RefFrameOutPadding;
479
                                                        6'd7 :Inter_ref_09_10 <= RefFrameOutPadding[7:0];
480
                                                        6'd6 :{Inter_ref_04_11,Inter_ref_03_11,Inter_ref_02_11} <= RefFrameOutPadding[31:8];
481
                                                        6'd5 :{Inter_ref_08_11,Inter_ref_07_11,Inter_ref_06_11,Inter_ref_05_11} <= RefFrameOutPadding;
482
                                                        6'd4 :Inter_ref_09_11 <= RefFrameOutPadding[7:0];
483
                                                        6'd3 :{Inter_ref_04_12,Inter_ref_03_12,Inter_ref_02_12} <= RefFrameOutPadding[31:8];
484
                                                        6'd2 :{Inter_ref_08_12,Inter_ref_07_12,Inter_ref_06_12,Inter_ref_05_12} <= RefFrameOutPadding;
485
                                                        6'd1 :Inter_ref_09_12 <= RefFrameOutPadding[7:0];
486
                                                endcase
487
                                                2'b10:
488
                                                case (blk4x4_inter_preload_counter)
489
                                                        6'd39:{Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding[31:16];
490
                                                        6'd38:{Inter_ref_07_00,Inter_ref_06_00,Inter_ref_05_00,Inter_ref_04_00} <= RefFrameOutPadding;
491
                                                        6'd37:{Inter_ref_09_00,Inter_ref_08_00} <= RefFrameOutPadding[15:0];
492
                                                        6'd36:{Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding[31:16];
493
                                                        6'd35:{Inter_ref_07_01,Inter_ref_06_01,Inter_ref_05_01,Inter_ref_04_01} <= RefFrameOutPadding;
494
                                                        6'd34:{Inter_ref_09_01,Inter_ref_08_01} <= RefFrameOutPadding[15:0];
495
                                                        6'd33:{Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding[31:16];
496
                                                        6'd32:{Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02} <= RefFrameOutPadding;
497
                                                        6'd31:{Inter_ref_09_02,Inter_ref_08_02} <= RefFrameOutPadding[15:0];
498
                                                        6'd30:{Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding[31:16];
499
                                                        6'd29:{Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03} <= RefFrameOutPadding;
500
                                                        6'd28:{Inter_ref_09_03,Inter_ref_08_03} <= RefFrameOutPadding[15:0];
501
                                                        6'd27:{Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding[31:16];
502
                                                        6'd26:{Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04} <= RefFrameOutPadding;
503
                                                        6'd25:{Inter_ref_09_04,Inter_ref_08_04} <= RefFrameOutPadding[15:0];
504
                                                        6'd24:{Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding[31:16];
505
                                                        6'd23:{Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05} <= RefFrameOutPadding;
506
                                                        6'd22:{Inter_ref_09_05,Inter_ref_08_05} <= RefFrameOutPadding[15:0];
507
                                                        6'd21:{Inter_ref_03_06,Inter_ref_02_06} <= RefFrameOutPadding[31:16];
508
                                                        6'd20:{Inter_ref_07_06,Inter_ref_06_06,Inter_ref_05_06,Inter_ref_04_06} <= RefFrameOutPadding;
509
                                                        6'd19:{Inter_ref_09_06,Inter_ref_08_06} <= RefFrameOutPadding[15:0];
510
                                                        6'd18:{Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding[31:16];
511
                                                        6'd17:{Inter_ref_07_07,Inter_ref_06_07,Inter_ref_05_07,Inter_ref_04_07} <= RefFrameOutPadding;
512
                                                        6'd16:{Inter_ref_09_07,Inter_ref_08_07} <= RefFrameOutPadding[15:0];
513
                                                        6'd15:{Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding[31:16];
514
                                                        6'd14:{Inter_ref_07_08,Inter_ref_06_08,Inter_ref_05_08,Inter_ref_04_08} <= RefFrameOutPadding;
515
                                                        6'd13:{Inter_ref_09_08,Inter_ref_08_08} <= RefFrameOutPadding[15:0];
516
                                                        6'd12:{Inter_ref_03_09,Inter_ref_02_09} <= RefFrameOutPadding[31:16];
517
                                                        6'd11:{Inter_ref_07_09,Inter_ref_06_09,Inter_ref_05_09,Inter_ref_04_09} <= RefFrameOutPadding;
518
                                                        6'd10:{Inter_ref_09_09,Inter_ref_08_09} <= RefFrameOutPadding[15:0];
519
                                                        6'd9 :{Inter_ref_03_10,Inter_ref_02_10} <= RefFrameOutPadding[31:16];
520
                                                        6'd8 :{Inter_ref_07_10,Inter_ref_06_10,Inter_ref_05_10,Inter_ref_04_10} <= RefFrameOutPadding;
521
                                                        6'd7 :{Inter_ref_09_10,Inter_ref_08_10} <= RefFrameOutPadding[15:0];
522
                                                        6'd6 :{Inter_ref_03_11,Inter_ref_02_11} <= RefFrameOutPadding[31:16];
523
                                                        6'd5 :{Inter_ref_07_11,Inter_ref_06_11,Inter_ref_05_11,Inter_ref_04_11} <= RefFrameOutPadding;
524
                                                        6'd4 :{Inter_ref_09_11,Inter_ref_08_11} <= RefFrameOutPadding[15:0];
525
                                                        6'd3 :{Inter_ref_03_12,Inter_ref_02_12} <= RefFrameOutPadding[31:16];
526
                                                        6'd2 :{Inter_ref_07_12,Inter_ref_06_12,Inter_ref_05_12,Inter_ref_04_12} <= RefFrameOutPadding;
527
                                                        6'd1 :{Inter_ref_09_12,Inter_ref_08_12} <= RefFrameOutPadding[15:0];
528
                                                endcase
529
                                                2'b11:
530
                                                case (blk4x4_inter_preload_counter)
531
                                                        6'd39:{Inter_ref_02_00} <= RefFrameOutPadding[31:24];
532
                                                        6'd38:{Inter_ref_06_00,Inter_ref_05_00,Inter_ref_04_00,Inter_ref_03_00} <= RefFrameOutPadding;
533
                                                        6'd37:{Inter_ref_09_00,Inter_ref_08_00,Inter_ref_07_00} <= RefFrameOutPadding[23:0];
534
                                                        6'd36:{Inter_ref_02_01} <= RefFrameOutPadding[31:24];
535
                                                        6'd35:{Inter_ref_06_01,Inter_ref_05_01,Inter_ref_04_01,Inter_ref_03_01} <= RefFrameOutPadding;
536
                                                        6'd34:{Inter_ref_09_01,Inter_ref_08_01,Inter_ref_07_01} <= RefFrameOutPadding[23:0];
537
                                                        6'd33:{Inter_ref_02_02} <= RefFrameOutPadding[31:24];
538
                                                        6'd32:{Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02} <= RefFrameOutPadding;
539
                                                        6'd31:{Inter_ref_09_02,Inter_ref_08_02,Inter_ref_07_02} <= RefFrameOutPadding[23:0];
540
                                                        6'd30:{Inter_ref_02_03} <= RefFrameOutPadding[31:24];
541
                                                        6'd29:{Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03} <= RefFrameOutPadding;
542
                                                        6'd28:{Inter_ref_09_03,Inter_ref_08_03,Inter_ref_07_03} <= RefFrameOutPadding[23:0];
543
                                                        6'd27:{Inter_ref_02_04} <= RefFrameOutPadding[31:24];
544
                                                        6'd26:{Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04} <= RefFrameOutPadding;
545
                                                        6'd25:{Inter_ref_09_04,Inter_ref_08_04,Inter_ref_07_04} <= RefFrameOutPadding[23:0];
546
                                                        6'd24:{Inter_ref_02_05} <= RefFrameOutPadding[31:24];
547
                                                        6'd23:{Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05} <= RefFrameOutPadding;
548
                                                        6'd22:{Inter_ref_09_05,Inter_ref_08_05,Inter_ref_07_05} <= RefFrameOutPadding[23:0];
549
                                                        6'd21:{Inter_ref_02_06} <= RefFrameOutPadding[31:24];
550
                                                        6'd20:{Inter_ref_06_06,Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06} <= RefFrameOutPadding;
551
                                                        6'd19:{Inter_ref_09_06,Inter_ref_08_06,Inter_ref_07_06} <= RefFrameOutPadding[23:0];
552
                                                        6'd18:{Inter_ref_02_07} <= RefFrameOutPadding[31:24];
553
                                                        6'd17:{Inter_ref_06_07,Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07} <= RefFrameOutPadding;
554
                                                        6'd16:{Inter_ref_09_07,Inter_ref_08_07,Inter_ref_07_07} <= RefFrameOutPadding[23:0];
555
                                                        6'd15:{Inter_ref_02_08} <= RefFrameOutPadding[31:24];
556
                                                        6'd14:{Inter_ref_06_08,Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08} <= RefFrameOutPadding;
557
                                                        6'd13:{Inter_ref_09_08,Inter_ref_08_08,Inter_ref_07_08} <= RefFrameOutPadding[23:0];
558
                                                        6'd12:{Inter_ref_02_09} <= RefFrameOutPadding[31:24];
559
                                                        6'd11:{Inter_ref_06_09,Inter_ref_05_09,Inter_ref_04_09,Inter_ref_03_09} <= RefFrameOutPadding;
560
                                                        6'd10:{Inter_ref_09_09,Inter_ref_08_09,Inter_ref_07_09} <= RefFrameOutPadding[23:0];
561
                                                        6'd9 :{Inter_ref_02_10} <= RefFrameOutPadding[31:24];
562
                                                        6'd8 :{Inter_ref_06_10,Inter_ref_05_10,Inter_ref_04_10,Inter_ref_03_10} <= RefFrameOutPadding;
563
                                                        6'd7 :{Inter_ref_09_10,Inter_ref_08_10,Inter_ref_07_10} <= RefFrameOutPadding[23:0];
564
                                                        6'd6 :{Inter_ref_02_11} <= RefFrameOutPadding[31:24];
565
                                                        6'd5 :{Inter_ref_06_11,Inter_ref_05_11,Inter_ref_04_11,Inter_ref_03_11} <= RefFrameOutPadding;
566
                                                        6'd4 :{Inter_ref_09_11,Inter_ref_08_11,Inter_ref_07_11} <= RefFrameOutPadding[23:0];
567
                                                        6'd3 :{Inter_ref_02_12} <= RefFrameOutPadding[31:24];
568
                                                        6'd2 :{Inter_ref_06_12,Inter_ref_05_12,Inter_ref_04_12,Inter_ref_03_12} <= RefFrameOutPadding;
569
                                                        6'd1 :{Inter_ref_09_12,Inter_ref_08_12,Inter_ref_07_12} <= RefFrameOutPadding[23:0];
570
                                                endcase
571
                                        endcase
572
                                        `pos_a,`pos_b,`pos_c:
573
                                        case (xInt_org_unclip_1to0)
574
                                                2'b00:
575
                                                case (blk4x4_inter_preload_counter)
576
                                                        6'd32:{Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:16];
577
                                                        6'd31:{Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding;
578
                                                        6'd30:{Inter_ref_09_02,Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02} <= RefFrameOutPadding;
579
                                                        6'd29:{Inter_ref_12_02,Inter_ref_11_02,Inter_ref_10_02} <= RefFrameOutPadding[23:0];
580
                                                        6'd28:{Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:16];
581
                                                        6'd27:{Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding;
582
                                                        6'd26:{Inter_ref_09_03,Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03} <= RefFrameOutPadding;
583
                                                        6'd25:{Inter_ref_12_03,Inter_ref_11_03,Inter_ref_10_03} <= RefFrameOutPadding[23:0];
584
                                                        6'd24:{Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding[31:16];
585
                                                        6'd23:{Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding;
586
                                                        6'd22:{Inter_ref_09_04,Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04} <= RefFrameOutPadding;
587
                                                        6'd21:{Inter_ref_12_04,Inter_ref_11_04,Inter_ref_10_04} <= RefFrameOutPadding[23:0];
588
                                                        6'd20:{Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding[31:16];
589
                                                        6'd19:{Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding;
590
                                                        6'd18:{Inter_ref_09_05,Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05} <= RefFrameOutPadding;
591
                                                        6'd17:{Inter_ref_12_05,Inter_ref_11_05,Inter_ref_10_05} <= RefFrameOutPadding[23:0];
592
                                                        6'd16:{Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding[31:16];
593
                                                        6'd15:{Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06} <= RefFrameOutPadding;
594
                                                        6'd14:{Inter_ref_09_06,Inter_ref_08_06,Inter_ref_07_06,Inter_ref_06_06} <= RefFrameOutPadding;
595
                                                        6'd13:{Inter_ref_12_06,Inter_ref_11_06,Inter_ref_10_06} <= RefFrameOutPadding[23:0];
596
                                                        6'd12:{Inter_ref_01_07,Inter_ref_00_07} <= RefFrameOutPadding[31:16];
597
                                                        6'd11:{Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding;
598
                                                        6'd10:{Inter_ref_09_07,Inter_ref_08_07,Inter_ref_07_07,Inter_ref_06_07} <= RefFrameOutPadding;
599
                                                        6'd9 :{Inter_ref_12_07,Inter_ref_11_07,Inter_ref_10_07} <= RefFrameOutPadding[23:0];
600
                                                        6'd8 :{Inter_ref_01_08,Inter_ref_00_08} <= RefFrameOutPadding[31:16];
601
                                                        6'd7 :{Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding;
602
                                                        6'd6 :{Inter_ref_09_08,Inter_ref_08_08,Inter_ref_07_08,Inter_ref_06_08} <= RefFrameOutPadding;
603
                                                        6'd5 :{Inter_ref_12_08,Inter_ref_11_08,Inter_ref_10_08} <= RefFrameOutPadding[23:0];
604
                                                        6'd4 :{Inter_ref_01_09,Inter_ref_00_09} <= RefFrameOutPadding[31:16];
605
                                                        6'd3 :{Inter_ref_05_09,Inter_ref_04_09,Inter_ref_03_09,Inter_ref_02_09} <= RefFrameOutPadding;
606
                                                        6'd2 :{Inter_ref_09_09,Inter_ref_08_09,Inter_ref_07_09,Inter_ref_06_09} <= RefFrameOutPadding;
607
                                                        6'd1 :{Inter_ref_12_09,Inter_ref_11_09,Inter_ref_10_09} <= RefFrameOutPadding[23:0];
608
                                                endcase
609
                                                2'b01:
610
                                                case (blk4x4_inter_preload_counter)
611
                                                        6'd32:Inter_ref_00_02 <= RefFrameOutPadding[31:24];
612
                                                        6'd31:{Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02} <= RefFrameOutPadding;
613
                                                        6'd30:{Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02} <= RefFrameOutPadding;
614
                                                        6'd29:{Inter_ref_12_02,Inter_ref_11_02,Inter_ref_10_02,Inter_ref_09_02} <= RefFrameOutPadding;
615
                                                        6'd28:Inter_ref_00_03 <= RefFrameOutPadding[31:24];
616
                                                        6'd27:{Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03} <= RefFrameOutPadding;
617
                                                        6'd26:{Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03} <= RefFrameOutPadding;
618
                                                        6'd25:{Inter_ref_12_03,Inter_ref_11_03,Inter_ref_10_03,Inter_ref_09_03} <= RefFrameOutPadding;
619
                                                        6'd24:Inter_ref_00_04 <= RefFrameOutPadding[31:24];
620
                                                        6'd23:{Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04,Inter_ref_01_04} <= RefFrameOutPadding;
621
                                                        6'd22:{Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04} <= RefFrameOutPadding;
622
                                                        6'd21:{Inter_ref_12_04,Inter_ref_11_04,Inter_ref_10_04,Inter_ref_09_04} <= RefFrameOutPadding;
623
                                                        6'd20:Inter_ref_00_05 <= RefFrameOutPadding[31:24];
624
                                                        6'd19:{Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05,Inter_ref_01_05} <= RefFrameOutPadding;
625
                                                        6'd18:{Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05} <= RefFrameOutPadding;
626
                                                        6'd17:{Inter_ref_12_05,Inter_ref_11_05,Inter_ref_10_05,Inter_ref_09_05} <= RefFrameOutPadding;
627
                                                        6'd16:Inter_ref_00_06 <= RefFrameOutPadding[31:24];
628
                                                        6'd15:{Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06,Inter_ref_01_06} <= RefFrameOutPadding;
629
                                                        6'd14:{Inter_ref_08_06,Inter_ref_07_06,Inter_ref_06_06,Inter_ref_05_06} <= RefFrameOutPadding;
630
                                                        6'd13:{Inter_ref_12_06,Inter_ref_11_06,Inter_ref_10_06,Inter_ref_09_06} <= RefFrameOutPadding;
631
                                                        6'd12:Inter_ref_00_07 <= RefFrameOutPadding[31:24];
632
                                                        6'd11:{Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07,Inter_ref_01_07} <= RefFrameOutPadding;
633
                                                        6'd10:{Inter_ref_08_07,Inter_ref_07_07,Inter_ref_06_07,Inter_ref_05_07} <= RefFrameOutPadding;
634
                                                        6'd9 :{Inter_ref_12_07,Inter_ref_11_07,Inter_ref_10_07,Inter_ref_09_07} <= RefFrameOutPadding;
635
                                                        6'd8 :Inter_ref_00_08 <= RefFrameOutPadding[31:24];
636
                                                        6'd7 :{Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08,Inter_ref_01_08} <= RefFrameOutPadding;
637
                                                        6'd6 :{Inter_ref_08_08,Inter_ref_07_08,Inter_ref_06_08,Inter_ref_05_08} <= RefFrameOutPadding;
638
                                                        6'd5 :{Inter_ref_12_08,Inter_ref_11_08,Inter_ref_10_08,Inter_ref_09_08} <= RefFrameOutPadding;
639
                                                        6'd4 :Inter_ref_00_09 <= RefFrameOutPadding[31:24];
640
                                                        6'd3 :{Inter_ref_04_09,Inter_ref_03_09,Inter_ref_02_09,Inter_ref_01_09} <= RefFrameOutPadding;
641
                                                        6'd2 :{Inter_ref_08_09,Inter_ref_07_09,Inter_ref_06_09,Inter_ref_05_09} <= RefFrameOutPadding;
642
                                                        6'd1 :{Inter_ref_12_09,Inter_ref_11_09,Inter_ref_10_09,Inter_ref_09_09} <= RefFrameOutPadding;
643
                                                endcase
644
                                                2'b10:
645
                                                case (blk4x4_inter_preload_counter)
646
                                                        6'd32:{Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding;
647
                                                        6'd31:{Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02} <= RefFrameOutPadding;
648
                                                        6'd30:{Inter_ref_11_02,Inter_ref_10_02,Inter_ref_09_02,Inter_ref_08_02} <= RefFrameOutPadding;
649
                                                        6'd29:Inter_ref_12_02 <= RefFrameOutPadding[7:0];
650
                                                        6'd28:{Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding;
651
                                                        6'd27:{Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03} <= RefFrameOutPadding;
652
                                                        6'd26:{Inter_ref_11_03,Inter_ref_10_03,Inter_ref_09_03,Inter_ref_08_03} <= RefFrameOutPadding;
653
                                                        6'd25:Inter_ref_12_03 <= RefFrameOutPadding[7:0];
654
                                                        6'd24:{Inter_ref_03_04,Inter_ref_02_04,Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding;
655
                                                        6'd23:{Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04} <= RefFrameOutPadding;
656
                                                        6'd22:{Inter_ref_11_04,Inter_ref_10_04,Inter_ref_09_04,Inter_ref_08_04} <= RefFrameOutPadding;
657
                                                        6'd21:Inter_ref_12_04 <= RefFrameOutPadding[7:0];
658
                                                        6'd20:{Inter_ref_03_05,Inter_ref_02_05,Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding;
659
                                                        6'd19:{Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05} <= RefFrameOutPadding;
660
                                                        6'd18:{Inter_ref_11_05,Inter_ref_10_05,Inter_ref_09_05,Inter_ref_08_05} <= RefFrameOutPadding;
661
                                                        6'd17:Inter_ref_12_05 <= RefFrameOutPadding[7:0];
662
                                                        6'd16:{Inter_ref_03_06,Inter_ref_02_06,Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding;
663
                                                        6'd15:{Inter_ref_07_06,Inter_ref_06_06,Inter_ref_05_06,Inter_ref_04_06} <= RefFrameOutPadding;
664
                                                        6'd14:{Inter_ref_11_06,Inter_ref_10_06,Inter_ref_09_06,Inter_ref_08_06} <= RefFrameOutPadding;
665
                                                        6'd13:Inter_ref_12_06 <= RefFrameOutPadding[7:0];
666
                                                        6'd12:{Inter_ref_03_07,Inter_ref_02_07,Inter_ref_01_07,Inter_ref_00_07} <= RefFrameOutPadding;
667
                                                        6'd11:{Inter_ref_07_07,Inter_ref_06_07,Inter_ref_05_07,Inter_ref_04_07} <= RefFrameOutPadding;
668
                                                        6'd10:{Inter_ref_11_07,Inter_ref_10_07,Inter_ref_09_07,Inter_ref_08_07} <= RefFrameOutPadding;
669
                                                        6'd9 :Inter_ref_12_07 <= RefFrameOutPadding[7:0];
670
                                                        6'd8 :{Inter_ref_03_08,Inter_ref_02_08,Inter_ref_01_08,Inter_ref_00_08} <= RefFrameOutPadding;
671
                                                        6'd7 :{Inter_ref_07_08,Inter_ref_06_08,Inter_ref_05_08,Inter_ref_04_08} <= RefFrameOutPadding;
672
                                                        6'd6 :{Inter_ref_11_08,Inter_ref_10_08,Inter_ref_09_08,Inter_ref_08_08} <= RefFrameOutPadding;
673
                                                        6'd5 :Inter_ref_12_08 <= RefFrameOutPadding[7:0];
674
                                                        6'd4 :{Inter_ref_03_09,Inter_ref_02_09,Inter_ref_01_09,Inter_ref_00_09} <= RefFrameOutPadding;
675
                                                        6'd3 :{Inter_ref_07_09,Inter_ref_06_09,Inter_ref_05_09,Inter_ref_04_09} <= RefFrameOutPadding;
676
                                                        6'd2 :{Inter_ref_11_09,Inter_ref_10_09,Inter_ref_09_09,Inter_ref_08_09} <= RefFrameOutPadding;
677
                                                        6'd1 :Inter_ref_12_09 <= RefFrameOutPadding[7:0];
678
                                                endcase
679
                                                2'b11:
680
                                                case (blk4x4_inter_preload_counter)
681
                                                        6'd32:{Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:8];
682
                                                        6'd31:{Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02} <= RefFrameOutPadding;
683
                                                        6'd30:{Inter_ref_10_02,Inter_ref_09_02,Inter_ref_08_02,Inter_ref_07_02} <= RefFrameOutPadding;
684
                                                        6'd29:{Inter_ref_12_02,Inter_ref_11_02} <= RefFrameOutPadding[15:0];
685
                                                        6'd28:{Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:8];
686
                                                        6'd27:{Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03} <= RefFrameOutPadding;
687
                                                        6'd26:{Inter_ref_10_03,Inter_ref_09_03,Inter_ref_08_03,Inter_ref_07_03} <= RefFrameOutPadding;
688
                                                        6'd25:{Inter_ref_12_03,Inter_ref_11_03} <= RefFrameOutPadding[15:0];
689
                                                        6'd24:{Inter_ref_02_04,Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding[31:8];
690
                                                        6'd23:{Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04} <= RefFrameOutPadding;
691
                                                        6'd22:{Inter_ref_10_04,Inter_ref_09_04,Inter_ref_08_04,Inter_ref_07_04} <= RefFrameOutPadding;
692
                                                        6'd21:{Inter_ref_12_04,Inter_ref_11_04} <= RefFrameOutPadding[15:0];
693
                                                        6'd20:{Inter_ref_02_05,Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding[31:8];
694
                                                        6'd19:{Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05} <= RefFrameOutPadding;
695
                                                        6'd18:{Inter_ref_10_05,Inter_ref_09_05,Inter_ref_08_05,Inter_ref_07_05} <= RefFrameOutPadding;
696
                                                        6'd17:{Inter_ref_12_05,Inter_ref_11_05} <= RefFrameOutPadding[15:0];
697
                                                        6'd16:{Inter_ref_02_06,Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding[31:8];
698
                                                        6'd15:{Inter_ref_06_06,Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06} <= RefFrameOutPadding;
699
                                                        6'd14:{Inter_ref_10_06,Inter_ref_09_06,Inter_ref_08_06,Inter_ref_07_06} <= RefFrameOutPadding;
700
                                                        6'd13:{Inter_ref_12_06,Inter_ref_11_06} <= RefFrameOutPadding[15:0];
701
                                                        6'd12:{Inter_ref_02_07,Inter_ref_01_07,Inter_ref_00_07} <= RefFrameOutPadding[31:8];
702
                                                        6'd11:{Inter_ref_06_07,Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07} <= RefFrameOutPadding;
703
                                                        6'd10:{Inter_ref_10_07,Inter_ref_09_07,Inter_ref_08_07,Inter_ref_07_07} <= RefFrameOutPadding;
704
                                                        6'd9 :{Inter_ref_12_07,Inter_ref_11_07} <= RefFrameOutPadding[15:0];
705
                                                        6'd8 :{Inter_ref_02_08,Inter_ref_01_08,Inter_ref_00_08} <= RefFrameOutPadding[31:8];
706
                                                        6'd7 :{Inter_ref_06_08,Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08} <= RefFrameOutPadding;
707
                                                        6'd6 :{Inter_ref_10_08,Inter_ref_09_08,Inter_ref_08_08,Inter_ref_07_08} <= RefFrameOutPadding;
708
                                                        6'd5 :{Inter_ref_12_08,Inter_ref_11_08} <= RefFrameOutPadding[15:0];
709
                                                        6'd4 :{Inter_ref_02_09,Inter_ref_01_09,Inter_ref_00_09} <= RefFrameOutPadding[31:8];
710
                                                        6'd3 :{Inter_ref_06_09,Inter_ref_05_09,Inter_ref_04_09,Inter_ref_03_09} <= RefFrameOutPadding;
711
                                                        6'd2 :{Inter_ref_10_09,Inter_ref_09_09,Inter_ref_08_09,Inter_ref_07_09} <= RefFrameOutPadding;
712
                                                        6'd1 :{Inter_ref_12_09,Inter_ref_11_09} <= RefFrameOutPadding[15:0];
713
                                                endcase
714
                                        endcase
715
                                        `pos_Int:
716
                                        case (xInt_org_unclip_1to0)
717
                                                2'b00:
718
                                                case (blk4x4_inter_preload_counter)
719
                                                        6'd16:{Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding;
720
                                                        6'd15:{Inter_ref_09_02,Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02} <= RefFrameOutPadding;
721
                                                        6'd14:{Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding;
722
                                                        6'd13:{Inter_ref_09_03,Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03} <= RefFrameOutPadding;
723
                                                        6'd12:{Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding;
724
                                                        6'd11:{Inter_ref_09_04,Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04} <= RefFrameOutPadding;
725
                                                        6'd10:{Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding;
726
                                                        6'd9 :{Inter_ref_09_05,Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05} <= RefFrameOutPadding;
727
                                                        6'd8 :{Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06} <= RefFrameOutPadding;
728
                                                        6'd7 :{Inter_ref_09_06,Inter_ref_08_06,Inter_ref_07_06,Inter_ref_06_06} <= RefFrameOutPadding;
729
                                                        6'd6 :{Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding;
730
                                                        6'd5 :{Inter_ref_09_07,Inter_ref_08_07,Inter_ref_07_07,Inter_ref_06_07} <= RefFrameOutPadding;
731
                                                        6'd4 :{Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding;
732
                                                        6'd3 :{Inter_ref_09_08,Inter_ref_08_08,Inter_ref_07_08,Inter_ref_06_08} <= RefFrameOutPadding;
733
                                                        6'd2 :{Inter_ref_05_09,Inter_ref_04_09,Inter_ref_03_09,Inter_ref_02_09} <= RefFrameOutPadding;
734
                                                        6'd1 :{Inter_ref_09_09,Inter_ref_08_09,Inter_ref_07_09,Inter_ref_06_09} <= RefFrameOutPadding;
735
                                                endcase
736
                                                2'b01:
737
                                                case (blk4x4_inter_preload_counter)
738
                                                        6'd24:{Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding[31:8];
739
                                                        6'd23:{Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02} <= RefFrameOutPadding;
740
                                                        6'd22:Inter_ref_09_02 <= RefFrameOutPadding[7:0];
741
                                                        6'd21:{Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding[31:8];
742
                                                        6'd20:{Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03} <= RefFrameOutPadding;
743
                                                        6'd19:Inter_ref_09_03 <= RefFrameOutPadding[7:0];
744
                                                        6'd18:{Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding[31:8];
745
                                                        6'd17:{Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04} <= RefFrameOutPadding;
746
                                                        6'd16:Inter_ref_09_04 <= RefFrameOutPadding[7:0];
747
                                                        6'd15:{Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding[31:8];
748
                                                        6'd14:{Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05} <= RefFrameOutPadding;
749
                                                        6'd13:Inter_ref_09_05 <= RefFrameOutPadding[7:0];
750
                                                        6'd12:{Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06} <= RefFrameOutPadding[31:8];
751
                                                        6'd11:{Inter_ref_08_06,Inter_ref_07_06,Inter_ref_06_06,Inter_ref_05_06} <= RefFrameOutPadding;
752
                                                        6'd10:Inter_ref_09_06 <= RefFrameOutPadding[7:0];
753
                                                        6'd9 :{Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding[31:8];
754
                                                        6'd8 :{Inter_ref_08_07,Inter_ref_07_07,Inter_ref_06_07,Inter_ref_05_07} <= RefFrameOutPadding;
755
                                                        6'd7 :Inter_ref_09_07 <= RefFrameOutPadding[7:0];
756
                                                        6'd6 :{Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding[31:8];
757
                                                        6'd5 :{Inter_ref_08_08,Inter_ref_07_08,Inter_ref_06_08,Inter_ref_05_08} <= RefFrameOutPadding;
758
                                                        6'd4 :Inter_ref_09_08 <= RefFrameOutPadding[7:0];
759
                                                        6'd3 :{Inter_ref_04_09,Inter_ref_03_09,Inter_ref_02_09} <= RefFrameOutPadding[31:8];
760
                                                        6'd2 :{Inter_ref_08_09,Inter_ref_07_09,Inter_ref_06_09,Inter_ref_05_09} <= RefFrameOutPadding;
761
                                                        6'd1 :Inter_ref_09_09 <= RefFrameOutPadding[7:0];
762
                                                endcase
763
                                                2'b10:
764
                                                case (blk4x4_inter_preload_counter)
765
                                                        6'd24:{Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding[31:16];
766
                                                        6'd23:{Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02} <= RefFrameOutPadding;
767
                                                        6'd22:{Inter_ref_09_02,Inter_ref_08_02} <= RefFrameOutPadding[15:0];
768
                                                        6'd21:{Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding[31:16];
769
                                                        6'd20:{Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03} <= RefFrameOutPadding;
770
                                                        6'd19:{Inter_ref_09_03,Inter_ref_08_03} <= RefFrameOutPadding[15:0];
771
                                                        6'd18:{Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding[31:16];
772
                                                        6'd17:{Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04} <= RefFrameOutPadding;
773
                                                        6'd16:{Inter_ref_09_04,Inter_ref_08_04} <= RefFrameOutPadding[15:0];
774
                                                        6'd15:{Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding[31:16];
775
                                                        6'd14:{Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05} <= RefFrameOutPadding;
776
                                                        6'd13:{Inter_ref_09_05,Inter_ref_08_05} <= RefFrameOutPadding[15:0];
777
                                                        6'd12:{Inter_ref_03_06,Inter_ref_02_06} <= RefFrameOutPadding[31:16];
778
                                                        6'd11:{Inter_ref_07_06,Inter_ref_06_06,Inter_ref_05_06,Inter_ref_04_06} <= RefFrameOutPadding;
779
                                                        6'd10:{Inter_ref_09_06,Inter_ref_08_06} <= RefFrameOutPadding[15:0];
780
                                                        6'd9 :{Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding[31:16];
781
                                                        6'd8 :{Inter_ref_07_07,Inter_ref_06_07,Inter_ref_05_07,Inter_ref_04_07} <= RefFrameOutPadding;
782
                                                        6'd7 :{Inter_ref_09_07,Inter_ref_08_07} <= RefFrameOutPadding[15:0];
783
                                                        6'd6 :{Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding[31:16];
784
                                                        6'd5 :{Inter_ref_07_08,Inter_ref_06_08,Inter_ref_05_08,Inter_ref_04_08} <= RefFrameOutPadding;
785
                                                        6'd4 :{Inter_ref_09_08,Inter_ref_08_08} <= RefFrameOutPadding[15:0];
786
                                                        6'd3 :{Inter_ref_03_09,Inter_ref_02_09} <= RefFrameOutPadding[31:16];
787
                                                        6'd2 :{Inter_ref_07_09,Inter_ref_06_09,Inter_ref_05_09,Inter_ref_04_09} <= RefFrameOutPadding;
788
                                                        6'd1 :{Inter_ref_09_09,Inter_ref_08_09} <= RefFrameOutPadding[15:0];
789
                                                endcase
790
                                                2'b11:
791
                                                case (blk4x4_inter_preload_counter)
792
                                                        6'd24:{Inter_ref_02_02} <= RefFrameOutPadding[31:24];
793
                                                        6'd23:{Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02} <= RefFrameOutPadding;
794
                                                        6'd22:{Inter_ref_09_02,Inter_ref_08_02,Inter_ref_07_02} <= RefFrameOutPadding[23:0];
795
                                                        6'd21:{Inter_ref_02_03} <= RefFrameOutPadding[31:24];
796
                                                        6'd20:{Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03} <= RefFrameOutPadding;
797
                                                        6'd19:{Inter_ref_09_03,Inter_ref_08_03,Inter_ref_07_03} <= RefFrameOutPadding[23:0];
798
                                                        6'd18:{Inter_ref_02_04} <= RefFrameOutPadding[31:24];
799
                                                        6'd17:{Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04} <= RefFrameOutPadding;
800
                                                        6'd16:{Inter_ref_09_04,Inter_ref_08_04,Inter_ref_07_04} <= RefFrameOutPadding[23:0];
801
                                                        6'd15:{Inter_ref_02_05} <= RefFrameOutPadding[31:24];
802
                                                        6'd14:{Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05} <= RefFrameOutPadding;
803
                                                        6'd13:{Inter_ref_09_05,Inter_ref_08_05,Inter_ref_07_05} <= RefFrameOutPadding[23:0];
804
                                                        6'd12:{Inter_ref_02_06} <= RefFrameOutPadding[31:24];
805
                                                        6'd11:{Inter_ref_06_06,Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06} <= RefFrameOutPadding;
806
                                                        6'd10:{Inter_ref_09_06,Inter_ref_08_06,Inter_ref_07_06} <= RefFrameOutPadding[23:0];
807
                                                        6'd9 :{Inter_ref_02_07} <= RefFrameOutPadding[31:24];
808
                                                        6'd8 :{Inter_ref_06_07,Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07} <= RefFrameOutPadding;
809
                                                        6'd7 :{Inter_ref_09_07,Inter_ref_08_07,Inter_ref_07_07} <= RefFrameOutPadding[23:0];
810
                                                        6'd6 :{Inter_ref_02_08} <= RefFrameOutPadding[31:24];
811
                                                        6'd5 :{Inter_ref_06_08,Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08} <= RefFrameOutPadding;
812
                                                        6'd4 :{Inter_ref_09_08,Inter_ref_08_08,Inter_ref_07_08} <= RefFrameOutPadding[23:0];
813
                                                        6'd3 :{Inter_ref_02_09} <= RefFrameOutPadding[31:24];
814
                                                        6'd2 :{Inter_ref_06_09,Inter_ref_05_09,Inter_ref_04_09,Inter_ref_03_09} <= RefFrameOutPadding;
815
                                                        6'd1 :{Inter_ref_09_09,Inter_ref_08_09,Inter_ref_07_09} <= RefFrameOutPadding[23:0];
816
                                                endcase
817
                                        endcase
818
                                        `pos_e,`pos_g,`pos_p,`pos_r:
819
                                        case (xInt_org_unclip_1to0)
820
                                                2'b00:
821
                                                case (blk4x4_inter_preload_counter)
822
                                                        6'd48:{Inter_ref_05_00,Inter_ref_04_00,Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding;
823
                                                        6'd47:{Inter_ref_09_00,Inter_ref_08_00,Inter_ref_07_00,Inter_ref_06_00} <= RefFrameOutPadding;
824
                                                        6'd46:Inter_ref_10_00 <= RefFrameOutPadding[7:0];
825
                                                        6'd45:{Inter_ref_05_01,Inter_ref_04_01,Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding;
826
                                                        6'd44:{Inter_ref_09_01,Inter_ref_08_01,Inter_ref_07_01,Inter_ref_06_01} <= RefFrameOutPadding;
827
                                                        6'd43:Inter_ref_10_01 <= RefFrameOutPadding[7:0];
828
 
829
                                                        6'd42:{Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:16];
830
                                                        6'd41:{Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding;
831
                                                        6'd40:{Inter_ref_09_02,Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02} <= RefFrameOutPadding;
832
                                                        6'd39:{Inter_ref_12_02,Inter_ref_11_02,Inter_ref_10_02}                                 <= RefFrameOutPadding[23:0];
833
                                                        6'd38:{Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:16];
834
                                                        6'd37:{Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding;
835
                                                        6'd36:{Inter_ref_09_03,Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03} <= RefFrameOutPadding;
836
                                                        6'd35:{Inter_ref_12_03,Inter_ref_11_03,Inter_ref_10_03}                                 <= RefFrameOutPadding[23:0];
837
                                                        6'd34:{Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding[31:16];
838
                                                        6'd33:{Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding;
839
                                                        6'd32:{Inter_ref_09_04,Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04} <= RefFrameOutPadding;
840
                                                        6'd31:{Inter_ref_12_04,Inter_ref_11_04,Inter_ref_10_04}                                 <= RefFrameOutPadding[23:0];
841
                                                        6'd30:{Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding[31:16];
842
                                                        6'd29:{Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding;
843
                                                        6'd28:{Inter_ref_09_05,Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05} <= RefFrameOutPadding;
844
                                                        6'd27:{Inter_ref_12_05,Inter_ref_11_05,Inter_ref_10_05}                                 <= RefFrameOutPadding[23:0];
845
                                                        6'd26:{Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding[31:16];
846
                                                        6'd25:{Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06} <= RefFrameOutPadding;
847
                                                        6'd24:{Inter_ref_09_06,Inter_ref_08_06,Inter_ref_07_06,Inter_ref_06_06} <= RefFrameOutPadding;
848
                                                        6'd23:{Inter_ref_12_06,Inter_ref_11_06,Inter_ref_10_06}                                 <= RefFrameOutPadding[23:0];
849
                                                        6'd22:{Inter_ref_01_07,Inter_ref_00_07} <= RefFrameOutPadding[31:16];
850
                                                        6'd21:{Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding;
851
                                                        6'd20:{Inter_ref_09_07,Inter_ref_08_07,Inter_ref_07_07,Inter_ref_06_07} <= RefFrameOutPadding;
852
                                                        6'd19:{Inter_ref_12_07,Inter_ref_11_07,Inter_ref_10_07}                                 <= RefFrameOutPadding[23:0];
853
                                                        6'd18:{Inter_ref_01_08,Inter_ref_00_08} <= RefFrameOutPadding[31:16];
854
                                                        6'd17:{Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding;
855
                                                        6'd16:{Inter_ref_09_08,Inter_ref_08_08,Inter_ref_07_08,Inter_ref_06_08} <= RefFrameOutPadding;
856
                                                        6'd15:{Inter_ref_12_08,Inter_ref_11_08,Inter_ref_10_08}                                 <= RefFrameOutPadding[23:0];
857
                                                        6'd14:{Inter_ref_01_09,Inter_ref_00_09} <= RefFrameOutPadding[31:16];
858
                                                        6'd13:{Inter_ref_05_09,Inter_ref_04_09,Inter_ref_03_09,Inter_ref_02_09} <= RefFrameOutPadding;
859
                                                        6'd12:{Inter_ref_09_09,Inter_ref_08_09,Inter_ref_07_09,Inter_ref_06_09} <= RefFrameOutPadding;
860
                                                        6'd11:{Inter_ref_12_09,Inter_ref_11_09,Inter_ref_10_09}                                 <= RefFrameOutPadding[23:0];
861
                                                        6'd10:{Inter_ref_01_10,Inter_ref_00_10} <= RefFrameOutPadding[31:16];
862
                                                        6'd9 :{Inter_ref_05_10,Inter_ref_04_10,Inter_ref_03_10,Inter_ref_02_10} <= RefFrameOutPadding;
863
                                                        6'd8 :{Inter_ref_09_10,Inter_ref_08_10,Inter_ref_07_10,Inter_ref_06_10} <= RefFrameOutPadding;
864
                                                        6'd7 :{Inter_ref_12_10,Inter_ref_11_10,Inter_ref_10_10}                                 <= RefFrameOutPadding[23:0];
865
 
866
                                                        6'd6 :{Inter_ref_05_11,Inter_ref_04_11,Inter_ref_03_11,Inter_ref_02_11} <= RefFrameOutPadding;
867
                                                        6'd5 :{Inter_ref_09_11,Inter_ref_08_11,Inter_ref_07_11,Inter_ref_06_11} <= RefFrameOutPadding;
868
                                                        6'd4 :Inter_ref_10_11 <= RefFrameOutPadding[7:0];
869
                                                        6'd3 :{Inter_ref_05_12,Inter_ref_04_12,Inter_ref_03_12,Inter_ref_02_12} <= RefFrameOutPadding;
870
                                                        6'd2 :{Inter_ref_09_12,Inter_ref_08_12,Inter_ref_07_12,Inter_ref_06_12} <= RefFrameOutPadding;
871
                                                        6'd1 :Inter_ref_10_12 <= RefFrameOutPadding[7:0];
872
                                                endcase
873
                                                2'b01:
874
                                                case (blk4x4_inter_preload_counter)
875
                                                        6'd48:{Inter_ref_04_00,Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding[31:8];
876
                                                        6'd47:{Inter_ref_08_00,Inter_ref_07_00,Inter_ref_06_00,Inter_ref_05_00} <= RefFrameOutPadding;
877
                                                        6'd46:{Inter_ref_10_00,Inter_ref_09_00} <= RefFrameOutPadding[15:0];
878
                                                        6'd45:{Inter_ref_04_01,Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding[31:8];
879
                                                        6'd44:{Inter_ref_08_01,Inter_ref_07_01,Inter_ref_06_01,Inter_ref_05_01} <= RefFrameOutPadding;
880
                                                        6'd43:{Inter_ref_10_01,Inter_ref_09_01} <= RefFrameOutPadding[15:0];
881
 
882
                                                        6'd42:Inter_ref_00_02 <= RefFrameOutPadding[31:24];
883
                                                        6'd41:{Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02} <= RefFrameOutPadding;
884
                                                        6'd40:{Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02} <= RefFrameOutPadding;
885
                                                        6'd39:{Inter_ref_12_02,Inter_ref_11_02,Inter_ref_10_02,Inter_ref_09_02} <= RefFrameOutPadding;
886
                                                        6'd38:Inter_ref_00_03 <= RefFrameOutPadding[31:24];
887
                                                        6'd37:{Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03} <= RefFrameOutPadding;
888
                                                        6'd36:{Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03} <= RefFrameOutPadding;
889
                                                        6'd35:{Inter_ref_12_03,Inter_ref_11_03,Inter_ref_10_03,Inter_ref_09_03} <= RefFrameOutPadding;
890
                                                        6'd34:Inter_ref_00_04 <= RefFrameOutPadding[31:24];
891
                                                        6'd33:{Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04,Inter_ref_01_04} <= RefFrameOutPadding;
892
                                                        6'd32:{Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04} <= RefFrameOutPadding;
893
                                                        6'd31:{Inter_ref_12_04,Inter_ref_11_04,Inter_ref_10_04,Inter_ref_09_04} <= RefFrameOutPadding;
894
                                                        6'd30:Inter_ref_00_05 <= RefFrameOutPadding[31:24];
895
                                                        6'd29:{Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05,Inter_ref_01_05} <= RefFrameOutPadding;
896
                                                        6'd28:{Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05} <= RefFrameOutPadding;
897
                                                        6'd27:{Inter_ref_12_05,Inter_ref_11_05,Inter_ref_10_05,Inter_ref_09_05} <= RefFrameOutPadding;
898
                                                        6'd26:Inter_ref_00_06 <= RefFrameOutPadding[31:24];
899
                                                        6'd25:{Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06,Inter_ref_01_06} <= RefFrameOutPadding;
900
                                                        6'd24:{Inter_ref_08_06,Inter_ref_07_06,Inter_ref_06_06,Inter_ref_05_06} <= RefFrameOutPadding;
901
                                                        6'd23:{Inter_ref_12_06,Inter_ref_11_06,Inter_ref_10_06,Inter_ref_09_06} <= RefFrameOutPadding;
902
                                                        6'd22:Inter_ref_00_07 <= RefFrameOutPadding[31:24];
903
                                                        6'd21:{Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07,Inter_ref_01_07} <= RefFrameOutPadding;
904
                                                        6'd20:{Inter_ref_08_07,Inter_ref_07_07,Inter_ref_06_07,Inter_ref_05_07} <= RefFrameOutPadding;
905
                                                        6'd19:{Inter_ref_12_07,Inter_ref_11_07,Inter_ref_10_07,Inter_ref_09_07} <= RefFrameOutPadding;
906
                                                        6'd18:Inter_ref_00_08 <= RefFrameOutPadding[31:24];
907
                                                        6'd17:{Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08,Inter_ref_01_08} <= RefFrameOutPadding;
908
                                                        6'd16:{Inter_ref_08_08,Inter_ref_07_08,Inter_ref_06_08,Inter_ref_05_08} <= RefFrameOutPadding;
909
                                                        6'd15:{Inter_ref_12_08,Inter_ref_11_08,Inter_ref_10_08,Inter_ref_09_08} <= RefFrameOutPadding;
910
                                                        6'd14:Inter_ref_00_09 <= RefFrameOutPadding[31:24];
911
                                                        6'd13:{Inter_ref_04_09,Inter_ref_03_09,Inter_ref_02_09,Inter_ref_01_09} <= RefFrameOutPadding;
912
                                                        6'd12:{Inter_ref_08_09,Inter_ref_07_09,Inter_ref_06_09,Inter_ref_05_09} <= RefFrameOutPadding;
913
                                                        6'd11:{Inter_ref_12_09,Inter_ref_11_09,Inter_ref_10_09,Inter_ref_09_09} <= RefFrameOutPadding;
914
                                                        6'd10:Inter_ref_00_10 <= RefFrameOutPadding[31:24];
915
                                                        6'd9 :{Inter_ref_04_10,Inter_ref_03_10,Inter_ref_02_10,Inter_ref_01_10} <= RefFrameOutPadding;
916
                                                        6'd8 :{Inter_ref_08_10,Inter_ref_07_10,Inter_ref_06_10,Inter_ref_05_10} <= RefFrameOutPadding;
917
                                                        6'd7 :{Inter_ref_12_10,Inter_ref_11_10,Inter_ref_10_10,Inter_ref_09_10} <= RefFrameOutPadding;
918
 
919
                                                        6'd6 :{Inter_ref_04_11,Inter_ref_03_11,Inter_ref_02_11} <= RefFrameOutPadding[31:8];
920
                                                        6'd5 :{Inter_ref_08_11,Inter_ref_07_11,Inter_ref_06_11,Inter_ref_05_11} <= RefFrameOutPadding;
921
                                                        6'd4 :{Inter_ref_10_11,Inter_ref_09_11} <= RefFrameOutPadding[15:0];
922
                                                        6'd3 :{Inter_ref_04_12,Inter_ref_03_12,Inter_ref_02_12} <= RefFrameOutPadding[31:8];
923
                                                        6'd2 :{Inter_ref_08_12,Inter_ref_07_12,Inter_ref_06_12,Inter_ref_05_12} <= RefFrameOutPadding;
924
                                                        6'd1 :{Inter_ref_10_12,Inter_ref_09_12} <= RefFrameOutPadding[15:0];
925
                                                endcase
926
                                                2'b10:
927
                                                case (blk4x4_inter_preload_counter)
928
                                                        6'd48:{Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding[31:16];
929
                                                        6'd47:{Inter_ref_07_00,Inter_ref_06_00,Inter_ref_05_00,Inter_ref_04_00} <= RefFrameOutPadding;
930
                                                        6'd46:{Inter_ref_10_00,Inter_ref_09_00,Inter_ref_08_00} <= RefFrameOutPadding[23:0];
931
                                                        6'd45:{Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding[31:16];
932
                                                        6'd44:{Inter_ref_07_01,Inter_ref_06_01,Inter_ref_05_01,Inter_ref_04_01} <= RefFrameOutPadding;
933
                                                        6'd43:{Inter_ref_10_01,Inter_ref_09_01,Inter_ref_08_01} <= RefFrameOutPadding[23:0];
934
 
935
                                                        6'd42:{Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding;
936
                                                        6'd41:{Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02} <= RefFrameOutPadding;
937
                                                        6'd40:{Inter_ref_11_02,Inter_ref_10_02,Inter_ref_09_02,Inter_ref_08_02} <= RefFrameOutPadding;
938
                                                        6'd39:Inter_ref_12_02 <= RefFrameOutPadding[7:0];
939
                                                        6'd38:{Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding;
940
                                                        6'd37:{Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03} <= RefFrameOutPadding;
941
                                                        6'd36:{Inter_ref_11_03,Inter_ref_10_03,Inter_ref_09_03,Inter_ref_08_03} <= RefFrameOutPadding;
942
                                                        6'd35:Inter_ref_12_03 <= RefFrameOutPadding[7:0];
943
                                                        6'd34:{Inter_ref_03_04,Inter_ref_02_04,Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding;
944
                                                        6'd33:{Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04} <= RefFrameOutPadding;
945
                                                        6'd32:{Inter_ref_11_04,Inter_ref_10_04,Inter_ref_09_04,Inter_ref_08_04} <= RefFrameOutPadding;
946
                                                        6'd31:Inter_ref_12_04 <= RefFrameOutPadding[7:0];
947
                                                        6'd30:{Inter_ref_03_05,Inter_ref_02_05,Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding;
948
                                                        6'd29:{Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05} <= RefFrameOutPadding;
949
                                                        6'd28:{Inter_ref_11_05,Inter_ref_10_05,Inter_ref_09_05,Inter_ref_08_05} <= RefFrameOutPadding;
950
                                                        6'd27:Inter_ref_12_05 <= RefFrameOutPadding[7:0];
951
                                                        6'd26:{Inter_ref_03_06,Inter_ref_02_06,Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding;
952
                                                        6'd25:{Inter_ref_07_06,Inter_ref_06_06,Inter_ref_05_06,Inter_ref_04_06} <= RefFrameOutPadding;
953
                                                        6'd24:{Inter_ref_11_06,Inter_ref_10_06,Inter_ref_09_06,Inter_ref_08_06} <= RefFrameOutPadding;
954
                                                        6'd23:Inter_ref_12_06 <= RefFrameOutPadding[7:0];
955
                                                        6'd22:{Inter_ref_03_07,Inter_ref_02_07,Inter_ref_01_07,Inter_ref_00_07} <= RefFrameOutPadding;
956
                                                        6'd21:{Inter_ref_07_07,Inter_ref_06_07,Inter_ref_05_07,Inter_ref_04_07} <= RefFrameOutPadding;
957
                                                        6'd20:{Inter_ref_11_07,Inter_ref_10_07,Inter_ref_09_07,Inter_ref_08_07} <= RefFrameOutPadding;
958
                                                        6'd19:Inter_ref_12_07 <= RefFrameOutPadding[7:0];
959
                                                        6'd18:{Inter_ref_03_08,Inter_ref_02_08,Inter_ref_01_08,Inter_ref_00_08} <= RefFrameOutPadding;
960
                                                        6'd17:{Inter_ref_07_08,Inter_ref_06_08,Inter_ref_05_08,Inter_ref_04_08} <= RefFrameOutPadding;
961
                                                        6'd16:{Inter_ref_11_08,Inter_ref_10_08,Inter_ref_09_08,Inter_ref_08_08} <= RefFrameOutPadding;
962
                                                        6'd15:Inter_ref_12_08 <= RefFrameOutPadding[7:0];
963
                                                        6'd14:{Inter_ref_03_09,Inter_ref_02_09,Inter_ref_01_09,Inter_ref_00_09} <= RefFrameOutPadding;
964
                                                        6'd13:{Inter_ref_07_09,Inter_ref_06_09,Inter_ref_05_09,Inter_ref_04_09} <= RefFrameOutPadding;
965
                                                        6'd12:{Inter_ref_11_09,Inter_ref_10_09,Inter_ref_09_09,Inter_ref_08_09} <= RefFrameOutPadding;
966
                                                        6'd11:Inter_ref_12_09 <= RefFrameOutPadding[7:0];
967
                                                        6'd10:{Inter_ref_03_10,Inter_ref_02_10,Inter_ref_01_10,Inter_ref_00_10} <= RefFrameOutPadding;
968
                                                        6'd9 :{Inter_ref_07_10,Inter_ref_06_10,Inter_ref_05_10,Inter_ref_04_10} <= RefFrameOutPadding;
969
                                                        6'd8 :{Inter_ref_11_10,Inter_ref_10_10,Inter_ref_09_10,Inter_ref_08_10} <= RefFrameOutPadding;
970
                                                        6'd7 :Inter_ref_12_10 <= RefFrameOutPadding[7:0];
971
 
972
                                                        6'd6 :{Inter_ref_03_11,Inter_ref_02_11} <= RefFrameOutPadding[31:16];
973
                                                        6'd5 :{Inter_ref_07_11,Inter_ref_06_11,Inter_ref_05_11,Inter_ref_04_11} <= RefFrameOutPadding;
974
                                                        6'd4 :{Inter_ref_10_11,Inter_ref_09_11,Inter_ref_08_11} <= RefFrameOutPadding[23:0];
975
                                                        6'd3 :{Inter_ref_03_12,Inter_ref_02_12} <= RefFrameOutPadding[31:16];
976
                                                        6'd2 :{Inter_ref_07_12,Inter_ref_06_12,Inter_ref_05_12,Inter_ref_04_12} <= RefFrameOutPadding;
977
                                                        6'd1 :{Inter_ref_10_12,Inter_ref_09_12,Inter_ref_08_12} <= RefFrameOutPadding[23:0];
978
                                                endcase
979
                                                2'b11:
980
                                                case (blk4x4_inter_preload_counter)
981
                                                        6'd48:{Inter_ref_02_00} <= RefFrameOutPadding[31:24];
982
                                                        6'd47:{Inter_ref_06_00,Inter_ref_05_00,Inter_ref_04_00,Inter_ref_03_00} <= RefFrameOutPadding;
983
                                                        6'd46:{Inter_ref_10_00,Inter_ref_09_00,Inter_ref_08_00,Inter_ref_07_00} <= RefFrameOutPadding;
984
                                                        6'd45:{Inter_ref_02_01} <= RefFrameOutPadding[31:24];
985
                                                        6'd44:{Inter_ref_06_01,Inter_ref_05_01,Inter_ref_04_01,Inter_ref_03_01} <= RefFrameOutPadding;
986
                                                        6'd43:{Inter_ref_10_01,Inter_ref_09_01,Inter_ref_08_01,Inter_ref_07_01} <= RefFrameOutPadding;
987
 
988
                                                        6'd42:{Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:8];
989
                                                        6'd41:{Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02} <= RefFrameOutPadding;
990
                                                        6'd40:{Inter_ref_10_02,Inter_ref_09_02,Inter_ref_08_02,Inter_ref_07_02} <= RefFrameOutPadding;
991
                                                        6'd39:{Inter_ref_12_02,Inter_ref_11_02} <= RefFrameOutPadding[15:0];
992
                                                        6'd38:{Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:8];
993
                                                        6'd37:{Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03} <= RefFrameOutPadding;
994
                                                        6'd36:{Inter_ref_10_03,Inter_ref_09_03,Inter_ref_08_03,Inter_ref_07_03} <= RefFrameOutPadding;
995
                                                        6'd35:{Inter_ref_12_03,Inter_ref_11_03} <= RefFrameOutPadding[15:0];
996
                                                        6'd34:{Inter_ref_02_04,Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding[31:8];
997
                                                        6'd33:{Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04} <= RefFrameOutPadding;
998
                                                        6'd32:{Inter_ref_10_04,Inter_ref_09_04,Inter_ref_08_04,Inter_ref_07_04} <= RefFrameOutPadding;
999
                                                        6'd31:{Inter_ref_12_04,Inter_ref_11_04} <= RefFrameOutPadding[15:0];
1000
                                                        6'd30:{Inter_ref_02_05,Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding[31:8];
1001
                                                        6'd29:{Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05} <= RefFrameOutPadding;
1002
                                                        6'd28:{Inter_ref_10_05,Inter_ref_09_05,Inter_ref_08_05,Inter_ref_07_05} <= RefFrameOutPadding;
1003
                                                        6'd27:{Inter_ref_12_05,Inter_ref_11_05} <= RefFrameOutPadding[15:0];
1004
                                                        6'd26:{Inter_ref_02_06,Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding[31:8];
1005
                                                        6'd25:{Inter_ref_06_06,Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06} <= RefFrameOutPadding;
1006
                                                        6'd24:{Inter_ref_10_06,Inter_ref_09_06,Inter_ref_08_06,Inter_ref_07_06} <= RefFrameOutPadding;
1007
                                                        6'd23:{Inter_ref_12_06,Inter_ref_11_06} <= RefFrameOutPadding[15:0];
1008
                                                        6'd22:{Inter_ref_02_07,Inter_ref_01_07,Inter_ref_00_07} <= RefFrameOutPadding[31:8];
1009
                                                        6'd21:{Inter_ref_06_07,Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07} <= RefFrameOutPadding;
1010
                                                        6'd20:{Inter_ref_10_07,Inter_ref_09_07,Inter_ref_08_07,Inter_ref_07_07} <= RefFrameOutPadding;
1011
                                                        6'd19:{Inter_ref_12_07,Inter_ref_11_07} <= RefFrameOutPadding[15:0];
1012
                                                        6'd18:{Inter_ref_02_08,Inter_ref_01_08,Inter_ref_00_08} <= RefFrameOutPadding[31:8];
1013
                                                        6'd17:{Inter_ref_06_08,Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08} <= RefFrameOutPadding;
1014
                                                        6'd16:{Inter_ref_10_08,Inter_ref_09_08,Inter_ref_08_08,Inter_ref_07_08} <= RefFrameOutPadding;
1015
                                                        6'd15:{Inter_ref_12_08,Inter_ref_11_08} <= RefFrameOutPadding[15:0];
1016
                                                        6'd14:{Inter_ref_02_09,Inter_ref_01_09,Inter_ref_00_09} <= RefFrameOutPadding[31:8];
1017
                                                        6'd13:{Inter_ref_06_09,Inter_ref_05_09,Inter_ref_04_09,Inter_ref_03_09} <= RefFrameOutPadding;
1018
                                                        6'd12:{Inter_ref_10_09,Inter_ref_09_09,Inter_ref_08_09,Inter_ref_07_09} <= RefFrameOutPadding;
1019
                                                        6'd11:{Inter_ref_12_09,Inter_ref_11_09} <= RefFrameOutPadding[15:0];
1020
                                                        6'd10:{Inter_ref_02_10,Inter_ref_01_10,Inter_ref_00_10} <= RefFrameOutPadding[31:8];
1021
                                                        6'd9 :{Inter_ref_06_10,Inter_ref_05_10,Inter_ref_04_10,Inter_ref_03_10} <= RefFrameOutPadding;
1022
                                                        6'd8 :{Inter_ref_10_10,Inter_ref_09_10,Inter_ref_08_10,Inter_ref_07_10} <= RefFrameOutPadding;
1023
                                                        6'd7 :{Inter_ref_12_10,Inter_ref_11_10} <= RefFrameOutPadding[15:0];
1024
 
1025
                                                        6'd6 :{Inter_ref_02_11} <= RefFrameOutPadding[31:24];
1026
                                                        6'd5 :{Inter_ref_06_11,Inter_ref_05_11,Inter_ref_04_11,Inter_ref_03_11} <= RefFrameOutPadding;
1027
                                                        6'd4 :{Inter_ref_10_11,Inter_ref_09_11,Inter_ref_08_11,Inter_ref_07_11} <= RefFrameOutPadding;
1028
                                                        6'd3 :{Inter_ref_02_12} <= RefFrameOutPadding[31:24];
1029
                                                        6'd2 :{Inter_ref_06_12,Inter_ref_05_12,Inter_ref_04_12,Inter_ref_03_12} <= RefFrameOutPadding;
1030
                                                        6'd1 :{Inter_ref_10_12,Inter_ref_09_12,Inter_ref_08_12,Inter_ref_07_12} <= RefFrameOutPadding;
1031
                                                endcase
1032
                                        endcase
1033
                                endcase
1034
                                1'b1:   //mv_below8x8_curr == 1'b1
1035
                                case (pos_FracL)
1036
                                        `pos_f,`pos_q,`pos_i,`pos_k,`pos_j:
1037
                                        case (xInt_org_unclip_1to0)
1038
                                                2'b00:
1039
                                                case (blk4x4_inter_preload_counter)
1040
                                                        6'd27:{Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding[31:16];
1041
                                                        6'd26:{Inter_ref_05_00,Inter_ref_04_00,Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding;
1042
                                                        6'd25:{Inter_ref_08_00,Inter_ref_07_00,Inter_ref_06_00} <= RefFrameOutPadding[23:0];
1043
                                                        6'd24:{Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding[31:16];
1044
                                                        6'd23:{Inter_ref_05_01,Inter_ref_04_01,Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding;
1045
                                                        6'd22:{Inter_ref_08_01,Inter_ref_07_01,Inter_ref_06_01} <= RefFrameOutPadding[23:0];
1046
                                                        6'd21:{Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:16];
1047
                                                        6'd20:{Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding;
1048
                                                        6'd19:{Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02} <= RefFrameOutPadding[23:0];
1049
                                                        6'd18:{Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:16];
1050
                                                        6'd17:{Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding;
1051
                                                        6'd16:{Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03} <= RefFrameOutPadding[23:0];
1052
                                                        6'd15:{Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding[31:16];
1053
                                                        6'd14:{Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding;
1054
                                                        6'd13:{Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04} <= RefFrameOutPadding[23:0];
1055
                                                        6'd12:{Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding[31:16];
1056
                                                        6'd11:{Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding;
1057
                                                        6'd10:{Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05} <= RefFrameOutPadding[23:0];
1058
                                                        6'd9 :{Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding[31:16];
1059
                                                        6'd8 :{Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06} <= RefFrameOutPadding;
1060
                                                        6'd7 :{Inter_ref_08_06,Inter_ref_07_06,Inter_ref_06_06} <= RefFrameOutPadding[23:0];
1061
                                                        6'd6 :{Inter_ref_01_07,Inter_ref_00_07} <= RefFrameOutPadding[31:16];
1062
                                                        6'd5 :{Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding;
1063
                                                        6'd4 :{Inter_ref_08_07,Inter_ref_07_07,Inter_ref_06_07} <= RefFrameOutPadding[23:0];
1064
                                                        6'd3 :{Inter_ref_01_08,Inter_ref_00_08} <= RefFrameOutPadding[31:16];
1065
                                                        6'd2 :{Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding;
1066
                                                        6'd1 :{Inter_ref_08_08,Inter_ref_07_08,Inter_ref_06_08} <= RefFrameOutPadding[23:0];
1067
                                                endcase
1068
                                                2'b01:
1069
                                                case (blk4x4_inter_preload_counter)
1070
                                                        6'd27:Inter_ref_00_00 <= RefFrameOutPadding[31:24];
1071
                                                        6'd26:{Inter_ref_04_00,Inter_ref_03_00,Inter_ref_02_00,Inter_ref_01_00} <= RefFrameOutPadding;
1072
                                                        6'd25:{Inter_ref_08_00,Inter_ref_07_00,Inter_ref_06_00,Inter_ref_05_00} <= RefFrameOutPadding;
1073
                                                        6'd24:Inter_ref_00_01 <= RefFrameOutPadding[31:24];
1074
                                                        6'd23:{Inter_ref_04_01,Inter_ref_03_01,Inter_ref_02_01,Inter_ref_01_01} <= RefFrameOutPadding;
1075
                                                        6'd22:{Inter_ref_08_01,Inter_ref_07_01,Inter_ref_06_01,Inter_ref_05_01} <= RefFrameOutPadding;
1076
                                                        6'd21:Inter_ref_00_02 <= RefFrameOutPadding[31:24];
1077
                                                        6'd20:{Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02} <= RefFrameOutPadding;
1078
                                                        6'd19:{Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02} <= RefFrameOutPadding;
1079
                                                        6'd18:Inter_ref_00_03 <= RefFrameOutPadding[31:24];
1080
                                                        6'd17:{Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03} <= RefFrameOutPadding;
1081
                                                        6'd16:{Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03} <= RefFrameOutPadding;
1082
                                                        6'd15:Inter_ref_00_04 <= RefFrameOutPadding[31:24];
1083
                                                        6'd14:{Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04,Inter_ref_01_04} <= RefFrameOutPadding;
1084
                                                        6'd13:{Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04} <= RefFrameOutPadding;
1085
                                                        6'd12:Inter_ref_00_05 <= RefFrameOutPadding[31:24];
1086
                                                        6'd11:{Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05,Inter_ref_01_05} <= RefFrameOutPadding;
1087
                                                        6'd10:{Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05} <= RefFrameOutPadding;
1088
                                                        6'd9 :Inter_ref_00_06 <= RefFrameOutPadding[31:24];
1089
                                                        6'd8 :{Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06,Inter_ref_01_06} <= RefFrameOutPadding;
1090
                                                        6'd7 :{Inter_ref_08_06,Inter_ref_07_06,Inter_ref_06_06,Inter_ref_05_06} <= RefFrameOutPadding;
1091
                                                        6'd6 :Inter_ref_00_07 <= RefFrameOutPadding[31:24];
1092
                                                        6'd5 :{Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07,Inter_ref_01_07} <= RefFrameOutPadding;
1093
                                                        6'd4 :{Inter_ref_08_07,Inter_ref_07_07,Inter_ref_06_07,Inter_ref_05_07} <= RefFrameOutPadding;
1094
                                                        6'd3 :Inter_ref_00_08 <= RefFrameOutPadding[31:24];
1095
                                                        6'd2 :{Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08,Inter_ref_01_08} <= RefFrameOutPadding;
1096
                                                        6'd1 :{Inter_ref_08_08,Inter_ref_07_08,Inter_ref_06_08,Inter_ref_05_08} <= RefFrameOutPadding;
1097
                                                endcase
1098
                                                2'b10:
1099
                                                case (blk4x4_inter_preload_counter)
1100
                                                        6'd27:{Inter_ref_03_00,Inter_ref_02_00,Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding;
1101
                                                        6'd26:{Inter_ref_07_00,Inter_ref_06_00,Inter_ref_05_00,Inter_ref_04_00} <= RefFrameOutPadding;
1102
                                                        6'd25:Inter_ref_08_00 <= RefFrameOutPadding[7:0];
1103
                                                        6'd24:{Inter_ref_03_01,Inter_ref_02_01,Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding;
1104
                                                        6'd23:{Inter_ref_07_01,Inter_ref_06_01,Inter_ref_05_01,Inter_ref_04_01} <= RefFrameOutPadding;
1105
                                                        6'd22:Inter_ref_08_01 <= RefFrameOutPadding[7:0];
1106
                                                        6'd21:{Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding;
1107
                                                        6'd20:{Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02} <= RefFrameOutPadding;
1108
                                                        6'd19:Inter_ref_08_02 <= RefFrameOutPadding[7:0];
1109
                                                        6'd18:{Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding;
1110
                                                        6'd17:{Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03} <= RefFrameOutPadding;
1111
                                                        6'd16:Inter_ref_08_03 <= RefFrameOutPadding[7:0];
1112
                                                        6'd15:{Inter_ref_03_04,Inter_ref_02_04,Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding;
1113
                                                        6'd14:{Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04} <= RefFrameOutPadding;
1114
                                                        6'd13:Inter_ref_08_04 <= RefFrameOutPadding[7:0];
1115
                                                        6'd12:{Inter_ref_03_05,Inter_ref_02_05,Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding;
1116
                                                        6'd11:{Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05} <= RefFrameOutPadding;
1117
                                                        6'd10:Inter_ref_08_05 <= RefFrameOutPadding[7:0];
1118
                                                        6'd9 :{Inter_ref_03_06,Inter_ref_02_06,Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding;
1119
                                                        6'd8 :{Inter_ref_07_06,Inter_ref_06_06,Inter_ref_05_06,Inter_ref_04_06} <= RefFrameOutPadding;
1120
                                                        6'd7 :Inter_ref_08_06 <= RefFrameOutPadding[7:0];
1121
                                                        6'd6 :{Inter_ref_03_07,Inter_ref_02_07,Inter_ref_01_07,Inter_ref_00_07} <= RefFrameOutPadding;
1122
                                                        6'd5 :{Inter_ref_07_07,Inter_ref_06_07,Inter_ref_05_07,Inter_ref_04_07} <= RefFrameOutPadding;
1123
                                                        6'd4 :Inter_ref_08_07 <= RefFrameOutPadding[7:0];
1124
                                                        6'd3 :{Inter_ref_03_08,Inter_ref_02_08,Inter_ref_01_08,Inter_ref_00_08} <= RefFrameOutPadding;
1125
                                                        6'd2 :{Inter_ref_07_08,Inter_ref_06_08,Inter_ref_05_08,Inter_ref_04_08} <= RefFrameOutPadding;
1126
                                                        6'd1 :Inter_ref_08_08 <= RefFrameOutPadding[7:0];
1127
                                                endcase
1128
                                                2'b11:
1129
                                                case (blk4x4_inter_preload_counter)
1130
                                                        6'd27:{Inter_ref_02_00,Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding[31:8];
1131
                                                        6'd26:{Inter_ref_06_00,Inter_ref_05_00,Inter_ref_04_00,Inter_ref_03_00} <= RefFrameOutPadding;
1132
                                                        6'd25:{Inter_ref_08_00,Inter_ref_07_00} <= RefFrameOutPadding[15:0];
1133
 
1134
                                                        6'd24:{Inter_ref_02_01,Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding[31:8];
1135
                                                        6'd23:{Inter_ref_06_01,Inter_ref_05_01,Inter_ref_04_01,Inter_ref_03_01} <= RefFrameOutPadding;
1136
                                                        6'd22:{Inter_ref_08_01,Inter_ref_07_01} <= RefFrameOutPadding[15:0];
1137
 
1138
                                                        6'd21:{Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:8];
1139
                                                        6'd20:{Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02} <= RefFrameOutPadding;
1140
                                                        6'd19:{Inter_ref_08_02,Inter_ref_07_02} <= RefFrameOutPadding[15:0];
1141
 
1142
                                                        6'd18:{Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:8];
1143
                                                        6'd17:{Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03} <= RefFrameOutPadding;
1144
                                                        6'd16:{Inter_ref_08_03,Inter_ref_07_03} <= RefFrameOutPadding[15:0];
1145
 
1146
                                                        6'd15:{Inter_ref_02_04,Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding[31:8];
1147
                                                        6'd14:{Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04} <= RefFrameOutPadding;
1148
                                                        6'd13:{Inter_ref_08_04,Inter_ref_07_04} <= RefFrameOutPadding[15:0];
1149
 
1150
                                                        6'd12:{Inter_ref_02_05,Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding[31:8];
1151
                                                        6'd11:{Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05} <= RefFrameOutPadding;
1152
                                                        6'd10:{Inter_ref_08_05,Inter_ref_07_05} <= RefFrameOutPadding[15:0];
1153
 
1154
                                                        6'd9 :{Inter_ref_02_06,Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding[31:8];
1155
                                                        6'd8 :{Inter_ref_06_06,Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06} <= RefFrameOutPadding;
1156
                                                        6'd7 :{Inter_ref_08_06,Inter_ref_07_06} <= RefFrameOutPadding[15:0];
1157
 
1158
                                                        6'd6 :{Inter_ref_02_07,Inter_ref_01_07,Inter_ref_00_07} <= RefFrameOutPadding[31:8];
1159
                                                        6'd5 :{Inter_ref_06_07,Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07} <= RefFrameOutPadding;
1160
                                                        6'd4 :{Inter_ref_08_07,Inter_ref_07_07} <= RefFrameOutPadding[15:0];
1161
 
1162
                                                        6'd3 :{Inter_ref_02_08,Inter_ref_01_08,Inter_ref_00_08} <= RefFrameOutPadding[31:8];
1163
                                                        6'd2 :{Inter_ref_06_08,Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08} <= RefFrameOutPadding;
1164
                                                        6'd1 :{Inter_ref_08_08,Inter_ref_07_08} <= RefFrameOutPadding[15:0];
1165
                                                endcase
1166
                                        endcase
1167
                                        `pos_d,`pos_h,`pos_n:
1168
                                        case (xInt_org_unclip_1to0)
1169
                                                2'b00:
1170
                                                case (blk4x4_inter_preload_counter)
1171
                                                        6'd9:{Inter_ref_05_00,Inter_ref_04_00,Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding;
1172
                                                        6'd8:{Inter_ref_05_01,Inter_ref_04_01,Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding;
1173
                                                        6'd7:{Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding;
1174
                                                        6'd6:{Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding;
1175
                                                        6'd5:{Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding;
1176
                                                        6'd4:{Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding;
1177
                                                        6'd3:{Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06} <= RefFrameOutPadding;
1178
                                                        6'd2:{Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding;
1179
                                                        6'd1:{Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding;
1180
                                                endcase
1181
                                                2'b01:
1182
                                                case (blk4x4_inter_preload_counter)
1183
                                                        6'd18:{Inter_ref_04_00,Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding[31:8];
1184
                                                        6'd17:Inter_ref_05_00 <= RefFrameOutPadding[7:0];
1185
 
1186
                                                        6'd16:{Inter_ref_04_01,Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding[31:8];
1187
                                                        6'd15:Inter_ref_05_01 <= RefFrameOutPadding[7:0];
1188
 
1189
                                                        6'd14:{Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding[31:8];
1190
                                                        6'd13:Inter_ref_05_02 <= RefFrameOutPadding[7:0];
1191
 
1192
                                                        6'd12:{Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding[31:8];
1193
                                                        6'd11:Inter_ref_05_03 <= RefFrameOutPadding[7:0];
1194
 
1195
                                                        6'd10:{Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding[31:8];
1196
                                                        6'd9 :Inter_ref_05_04 <= RefFrameOutPadding[7:0];
1197
 
1198
                                                        6'd8 :{Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding[31:8];
1199
                                                        6'd7 :Inter_ref_05_05 <= RefFrameOutPadding[7:0];
1200
 
1201
                                                        6'd6 :{Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06} <= RefFrameOutPadding[31:8];
1202
                                                        6'd5 :Inter_ref_05_06 <= RefFrameOutPadding[7:0];
1203
 
1204
                                                        6'd4 :{Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding[31:8];
1205
                                                        6'd3 :Inter_ref_05_07 <= RefFrameOutPadding[7:0];
1206
 
1207
                                                        6'd2 :{Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding[31:8];
1208
                                                        6'd1 :Inter_ref_05_08 <= RefFrameOutPadding[7:0];
1209
                                                endcase
1210
                                                2'b10:
1211
                                                case (blk4x4_inter_preload_counter)
1212
                                                        6'd18:{Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding[31:16];
1213
                                                        6'd17:{Inter_ref_05_00,Inter_ref_04_00} <= RefFrameOutPadding[15:0];
1214
 
1215
                                                        6'd16:{Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding[31:16];
1216
                                                        6'd15:{Inter_ref_05_01,Inter_ref_04_01} <= RefFrameOutPadding[15:0];
1217
 
1218
                                                        6'd14:{Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding[31:16];
1219
                                                        6'd13:{Inter_ref_05_02,Inter_ref_04_02} <= RefFrameOutPadding[15:0];
1220
 
1221
                                                        6'd12:{Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding[31:16];
1222
                                                        6'd11:{Inter_ref_05_03,Inter_ref_04_03} <= RefFrameOutPadding[15:0];
1223
 
1224
                                                        6'd10:{Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding[31:16];
1225
                                                        6'd9 :{Inter_ref_05_04,Inter_ref_04_04} <= RefFrameOutPadding[15:0];
1226
 
1227
                                                        6'd8 :{Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding[31:16];
1228
                                                        6'd7 :{Inter_ref_05_05,Inter_ref_04_05} <= RefFrameOutPadding[15:0];
1229
 
1230
                                                        6'd6 :{Inter_ref_03_06,Inter_ref_02_06} <= RefFrameOutPadding[31:16];
1231
                                                        6'd5 :{Inter_ref_05_06,Inter_ref_04_06} <= RefFrameOutPadding[15:0];
1232
 
1233
                                                        6'd4 :{Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding[31:16];
1234
                                                        6'd3 :{Inter_ref_05_07,Inter_ref_04_07} <= RefFrameOutPadding[15:0];
1235
 
1236
                                                        6'd2 :{Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding[31:16];
1237
                                                        6'd1 :{Inter_ref_05_08,Inter_ref_04_08} <= RefFrameOutPadding[15:0];
1238
                                                endcase
1239
                                                2'b11:
1240
                                                case (blk4x4_inter_preload_counter)
1241
                                                        6'd18:Inter_ref_02_00 <= RefFrameOutPadding[31:24];
1242
                                                        6'd17:{Inter_ref_05_00,Inter_ref_04_00,Inter_ref_03_00} <= RefFrameOutPadding[23:0];
1243
 
1244
                                                        6'd16:Inter_ref_02_01 <= RefFrameOutPadding[31:24];
1245
                                                        6'd15:{Inter_ref_05_01,Inter_ref_04_01,Inter_ref_03_01} <= RefFrameOutPadding[23:0];
1246
 
1247
                                                        6'd14:Inter_ref_02_02 <= RefFrameOutPadding[31:24];
1248
                                                        6'd13:{Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02} <= RefFrameOutPadding[23:0];
1249
 
1250
                                                        6'd12:Inter_ref_02_03 <= RefFrameOutPadding[31:24];
1251
                                                        6'd11:{Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03} <= RefFrameOutPadding[23:0];
1252
 
1253
                                                        6'd10:Inter_ref_02_04 <= RefFrameOutPadding[31:24];
1254
                                                        6'd9 :{Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04} <= RefFrameOutPadding[23:0];
1255
 
1256
                                                        6'd8 :Inter_ref_02_05 <= RefFrameOutPadding[31:24];
1257
                                                        6'd7 :{Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05} <= RefFrameOutPadding[23:0];
1258
 
1259
                                                        6'd6 :Inter_ref_02_06 <= RefFrameOutPadding[31:24];
1260
                                                        6'd5 :{Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06} <= RefFrameOutPadding[23:0];
1261
 
1262
                                                        6'd4 :Inter_ref_02_07 <= RefFrameOutPadding[31:24];
1263
                                                        6'd3 :{Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07} <= RefFrameOutPadding[23:0];
1264
 
1265
                                                        6'd2 :Inter_ref_02_08 <= RefFrameOutPadding[31:24];
1266
                                                        6'd1 :{Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08} <= RefFrameOutPadding[23:0];
1267
                                                endcase
1268
                                        endcase
1269
                                        `pos_a,`pos_b,`pos_c:
1270
                                        case (xInt_org_unclip_1to0)
1271
                                                2'b00:
1272
                                                case (blk4x4_inter_preload_counter)
1273
                                                        6'd12:{Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:16];
1274
                                                        6'd11:{Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding;
1275
                                                        6'd10:{Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02} <= RefFrameOutPadding[23:0];
1276
 
1277
                                                        6'd9 :{Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:16];
1278
                                                        6'd8 :{Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding;
1279
                                                        6'd7 :{Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03} <= RefFrameOutPadding[23:0];
1280
 
1281
                                                        6'd6 :{Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding[31:16];
1282
                                                        6'd5 :{Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding;
1283
                                                        6'd4 :{Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04} <= RefFrameOutPadding[23:0];
1284
 
1285
                                                        6'd3 :{Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding[31:16];
1286
                                                        6'd2 :{Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding;
1287
                                                        6'd1 :{Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05} <= RefFrameOutPadding[23:0];
1288
                                                endcase
1289
                                                2'b01:
1290
                                                case (blk4x4_inter_preload_counter)
1291
                                                        6'd12:Inter_ref_00_02 <= RefFrameOutPadding[31:24];
1292
                                                        6'd11:{Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02} <= RefFrameOutPadding;
1293
                                                        6'd10:{Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02} <= RefFrameOutPadding;
1294
 
1295
                                                        6'd9 :Inter_ref_00_03 <= RefFrameOutPadding[31:24];
1296
                                                        6'd8 :{Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03} <= RefFrameOutPadding;
1297
                                                        6'd7 :{Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03} <= RefFrameOutPadding;
1298
 
1299
                                                        6'd6 :Inter_ref_00_04 <= RefFrameOutPadding[31:24];
1300
                                                        6'd5 :{Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04,Inter_ref_01_04} <= RefFrameOutPadding;
1301
                                                        6'd4 :{Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04} <= RefFrameOutPadding;
1302
 
1303
                                                        6'd3 :Inter_ref_00_05 <= RefFrameOutPadding[31:24];
1304
                                                        6'd2 :{Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05,Inter_ref_01_05} <= RefFrameOutPadding;
1305
                                                        6'd1 :{Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05} <= RefFrameOutPadding;
1306
                                                endcase
1307
                                                2'b10:
1308
                                                case (blk4x4_inter_preload_counter)
1309
                                                        6'd12:{Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding;
1310
                                                        6'd11:{Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02} <= RefFrameOutPadding;
1311
                                                        6'd10:Inter_ref_08_02 <= RefFrameOutPadding[7:0];
1312
 
1313
                                                        6'd9 :{Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding;
1314
                                                        6'd8 :{Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03} <= RefFrameOutPadding;
1315
                                                        6'd7 :Inter_ref_08_03 <= RefFrameOutPadding[7:0];
1316
 
1317
                                                        6'd6 :{Inter_ref_03_04,Inter_ref_02_04,Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding;
1318
                                                        6'd5 :{Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04} <= RefFrameOutPadding;
1319
                                                        6'd4 :Inter_ref_08_04 <= RefFrameOutPadding[7:0];
1320
 
1321
                                                        6'd3 :{Inter_ref_03_05,Inter_ref_02_05,Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding;
1322
                                                        6'd2 :{Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05} <= RefFrameOutPadding;
1323
                                                        6'd1 :Inter_ref_08_05 <= RefFrameOutPadding[7:0];
1324
                                                endcase
1325
                                                2'b11:
1326
                                                case (blk4x4_inter_preload_counter)
1327
                                                        6'd12:{Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:8];
1328
                                                        6'd11:{Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02} <= RefFrameOutPadding;
1329
                                                        6'd10:{Inter_ref_08_02,Inter_ref_07_02} <= RefFrameOutPadding[15:0];
1330
 
1331
                                                        6'd9 :{Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:8];
1332
                                                        6'd8 :{Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03} <= RefFrameOutPadding;
1333
                                                        6'd7 :{Inter_ref_08_03,Inter_ref_07_03} <= RefFrameOutPadding[15:0];
1334
 
1335
                                                        6'd6 :{Inter_ref_02_04,Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding[31:8];
1336
                                                        6'd5 :{Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04} <= RefFrameOutPadding;
1337
                                                        6'd4 :{Inter_ref_08_04,Inter_ref_07_04} <= RefFrameOutPadding[15:0];
1338
 
1339
                                                        6'd3 :{Inter_ref_02_05,Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding[31:8];
1340
                                                        6'd2 :{Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05} <= RefFrameOutPadding;
1341
                                                        6'd1 :{Inter_ref_08_05,Inter_ref_07_05} <= RefFrameOutPadding[15:0];
1342
                                                endcase
1343
                                        endcase
1344
                                        `pos_Int:
1345
                                        case (xInt_org_unclip_1to0)
1346
                                                2'b00:
1347
                                                case (blk4x4_inter_preload_counter)
1348
                                                        6'd4:{Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding;
1349
                                                        6'd3:{Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding;
1350
                                                        6'd2:{Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding;
1351
                                                        6'd1:{Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding;
1352
                                                endcase
1353
                                                2'b01:
1354
                                                case (blk4x4_inter_preload_counter)
1355
                                                        6'd8:{Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding[31:8];
1356
                                                        6'd7:Inter_ref_05_02 <= RefFrameOutPadding[7:0];
1357
 
1358
                                                        6'd6:{Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding[31:8];
1359
                                                        6'd5:Inter_ref_05_03 <= RefFrameOutPadding[7:0];
1360
 
1361
                                                        6'd4:{Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding[31:8];
1362
                                                        6'd3:Inter_ref_05_04 <= RefFrameOutPadding[7:0];
1363
 
1364
                                                        6'd2:{Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding[31:8];
1365
                                                        6'd1:Inter_ref_05_05 <= RefFrameOutPadding[7:0];
1366
                                                endcase
1367
                                                2'b10:
1368
                                                case (blk4x4_inter_preload_counter)
1369
                                                        6'd8:{Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding[31:16];
1370
                                                        6'd7:{Inter_ref_05_02,Inter_ref_04_02} <= RefFrameOutPadding[15:0];
1371
 
1372
                                                        6'd6:{Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding[31:16];
1373
                                                        6'd5:{Inter_ref_05_03,Inter_ref_04_03} <= RefFrameOutPadding[15:0];
1374
 
1375
                                                        6'd4:{Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding[31:16];
1376
                                                        6'd3:{Inter_ref_05_04,Inter_ref_04_04} <= RefFrameOutPadding[15:0];
1377
 
1378
                                                        6'd2:{Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding[31:16];
1379
                                                        6'd1:{Inter_ref_05_05,Inter_ref_04_05} <= RefFrameOutPadding[15:0];
1380
                                                endcase
1381
                                                2'b11:
1382
                                                case (blk4x4_inter_preload_counter)
1383
                                                        6'd8:Inter_ref_02_02 <= RefFrameOutPadding[31:24];
1384
                                                        6'd7:{Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02} <= RefFrameOutPadding[23:0];
1385
 
1386
                                                        6'd6:Inter_ref_02_03 <= RefFrameOutPadding[31:24];
1387
                                                        6'd5:{Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03} <= RefFrameOutPadding[23:0];
1388
 
1389
                                                        6'd4:Inter_ref_02_04 <= RefFrameOutPadding[31:24];
1390
                                                        6'd3:{Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04} <= RefFrameOutPadding[23:0];
1391
 
1392
                                                        6'd2:Inter_ref_02_05 <= RefFrameOutPadding[31:24];
1393
                                                        6'd1:{Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05} <= RefFrameOutPadding[23:0];
1394
                                                endcase
1395
                                        endcase
1396
                                        `pos_e,`pos_g,`pos_p,`pos_r:
1397
                                        case (xInt_org_unclip_1to0)
1398
                                                2'b00:
1399
                                                case (blk4x4_inter_preload_counter)
1400
                                                        6'd23:{Inter_ref_05_00,Inter_ref_04_00,Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding;
1401
                                                        6'd22:Inter_ref_06_00 <= RefFrameOutPadding[7:0];
1402
                                                        6'd21:{Inter_ref_05_01,Inter_ref_04_01,Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding;
1403
                                                        6'd20:Inter_ref_06_01 <= RefFrameOutPadding[7:0];
1404
 
1405
                                                        6'd19:{Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:16];
1406
                                                        6'd18:{Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding;
1407
                                                        6'd17:{Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02} <= RefFrameOutPadding[23:0];
1408
                                                        6'd16:{Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:16];
1409
                                                        6'd15:{Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding;
1410
                                                        6'd14:{Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03} <= RefFrameOutPadding[23:0];
1411
                                                        6'd13:{Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding[31:16];
1412
                                                        6'd12:{Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding;
1413
                                                        6'd11:{Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04} <= RefFrameOutPadding[23:0];
1414
                                                        6'd10:{Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding[31:16];
1415
                                                        6'd9 :{Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05} <= RefFrameOutPadding;
1416
                                                        6'd8 :{Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05} <= RefFrameOutPadding[23:0];
1417
                                                        6'd7 :{Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding[31:16];
1418
                                                        6'd6 :{Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06} <= RefFrameOutPadding;
1419
                                                        6'd5 :{Inter_ref_08_06,Inter_ref_07_06,Inter_ref_06_06} <= RefFrameOutPadding[23:0];
1420
 
1421
                                                        6'd4 :{Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding;
1422
                                                        6'd3 :Inter_ref_06_07 <= RefFrameOutPadding[7:0];
1423
                                                        6'd2 :{Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding;
1424
                                                        6'd1 :Inter_ref_06_08 <= RefFrameOutPadding[7:0];
1425
                                                endcase
1426
                                                2'b01:
1427
                                                case (blk4x4_inter_preload_counter)
1428
                                                        6'd23:{Inter_ref_04_00,Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding[31:8];
1429
                                                        6'd22:{Inter_ref_06_00,Inter_ref_05_00} <= RefFrameOutPadding[15:0];
1430
                                                        6'd21:{Inter_ref_04_01,Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding[31:8];
1431
                                                        6'd20:{Inter_ref_06_01,Inter_ref_05_01} <= RefFrameOutPadding[15:0];
1432
 
1433
                                                        6'd19:Inter_ref_00_02 <= RefFrameOutPadding[31:24];
1434
                                                        6'd18:{Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02} <= RefFrameOutPadding;
1435
                                                        6'd17:{Inter_ref_08_02,Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02} <= RefFrameOutPadding;
1436
                                                        6'd16:Inter_ref_00_03 <= RefFrameOutPadding[31:24];
1437
                                                        6'd15:{Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03} <= RefFrameOutPadding;
1438
                                                        6'd14:{Inter_ref_08_03,Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03} <= RefFrameOutPadding;
1439
                                                        6'd13:Inter_ref_00_04 <= RefFrameOutPadding[31:24];
1440
                                                        6'd12:{Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04,Inter_ref_01_04} <= RefFrameOutPadding;
1441
                                                        6'd11:{Inter_ref_08_04,Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04} <= RefFrameOutPadding;
1442
                                                        6'd10:Inter_ref_00_05 <= RefFrameOutPadding[31:24];
1443
                                                        6'd9 :{Inter_ref_04_05,Inter_ref_03_05,Inter_ref_02_05,Inter_ref_01_05} <= RefFrameOutPadding;
1444
                                                        6'd8 :{Inter_ref_08_05,Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05} <= RefFrameOutPadding;
1445
                                                        6'd7 :Inter_ref_00_06 <= RefFrameOutPadding[31:24];
1446
                                                        6'd6 :{Inter_ref_04_06,Inter_ref_03_06,Inter_ref_02_06,Inter_ref_01_06} <= RefFrameOutPadding;
1447
                                                        6'd5 :{Inter_ref_08_06,Inter_ref_07_06,Inter_ref_06_06,Inter_ref_05_06} <= RefFrameOutPadding;
1448
 
1449
                                                        6'd4 :{Inter_ref_04_07,Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding[31:8];
1450
                                                        6'd3 :{Inter_ref_06_07,Inter_ref_05_07} <= RefFrameOutPadding[15:0];
1451
                                                        6'd2 :{Inter_ref_04_08,Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding[31:8];
1452
                                                        6'd1 :{Inter_ref_06_08,Inter_ref_05_08} <= RefFrameOutPadding[15:0];
1453
                                                endcase
1454
                                                2'b10:
1455
                                                case (blk4x4_inter_preload_counter)
1456
                                                        6'd23:{Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding[31:16];
1457
                                                        6'd22:{Inter_ref_06_00,Inter_ref_05_00,Inter_ref_04_00} <= RefFrameOutPadding[23:0];
1458
                                                        6'd21:{Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding[31:16];
1459
                                                        6'd20:{Inter_ref_06_01,Inter_ref_05_01,Inter_ref_04_01} <= RefFrameOutPadding[23:0];
1460
 
1461
                                                        6'd19:{Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding;
1462
                                                        6'd18:{Inter_ref_07_02,Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02} <= RefFrameOutPadding;
1463
                                                        6'd17:Inter_ref_08_02 <= RefFrameOutPadding[7:0];
1464
                                                        6'd16:{Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding;
1465
                                                        6'd15:{Inter_ref_07_03,Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03} <= RefFrameOutPadding;
1466
                                                        6'd14:Inter_ref_08_03 <= RefFrameOutPadding[7:0];
1467
                                                        6'd13:{Inter_ref_03_04,Inter_ref_02_04,Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding;
1468
                                                        6'd12:{Inter_ref_07_04,Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04} <= RefFrameOutPadding;
1469
                                                        6'd11:Inter_ref_08_04 <= RefFrameOutPadding[7:0];
1470
                                                        6'd10:{Inter_ref_03_05,Inter_ref_02_05,Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding;
1471
                                                        6'd9 :{Inter_ref_07_05,Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05} <= RefFrameOutPadding;
1472
                                                        6'd8 :Inter_ref_08_05 <= RefFrameOutPadding[7:0];
1473
                                                        6'd7 :{Inter_ref_03_06,Inter_ref_02_06,Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding;
1474
                                                        6'd6 :{Inter_ref_07_06,Inter_ref_06_06,Inter_ref_05_06,Inter_ref_04_06} <= RefFrameOutPadding;
1475
                                                        6'd5 :Inter_ref_08_06 <= RefFrameOutPadding[7:0];
1476
 
1477
                                                        6'd4 :{Inter_ref_03_07,Inter_ref_02_07} <= RefFrameOutPadding[31:16];
1478
                                                        6'd3 :{Inter_ref_06_07,Inter_ref_05_07,Inter_ref_04_07} <= RefFrameOutPadding[23:0];
1479
                                                        6'd2 :{Inter_ref_03_08,Inter_ref_02_08} <= RefFrameOutPadding[31:16];
1480
                                                        6'd1 :{Inter_ref_06_08,Inter_ref_05_08,Inter_ref_04_08} <= RefFrameOutPadding[23:0];
1481
                                                endcase
1482
                                                2'b11:
1483
                                                case (blk4x4_inter_preload_counter)
1484
                                                        6'd23:Inter_ref_02_00 <= RefFrameOutPadding[31:24];
1485
                                                        6'd22:{Inter_ref_06_00,Inter_ref_05_00,Inter_ref_04_00,Inter_ref_03_00} <= RefFrameOutPadding;
1486
                                                        6'd21:Inter_ref_02_01 <= RefFrameOutPadding[31:24];
1487
                                                        6'd20:{Inter_ref_06_01,Inter_ref_05_01,Inter_ref_04_01,Inter_ref_03_01} <= RefFrameOutPadding;
1488
 
1489
                                                        6'd19:{Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:8];
1490
                                                        6'd18:{Inter_ref_06_02,Inter_ref_05_02,Inter_ref_04_02,Inter_ref_03_02} <= RefFrameOutPadding;
1491
                                                        6'd17:{Inter_ref_08_02,Inter_ref_07_02} <= RefFrameOutPadding[15:0];
1492
                                                        6'd16:{Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:8];
1493
                                                        6'd15:{Inter_ref_06_03,Inter_ref_05_03,Inter_ref_04_03,Inter_ref_03_03} <= RefFrameOutPadding;
1494
                                                        6'd14:{Inter_ref_08_03,Inter_ref_07_03} <= RefFrameOutPadding[15:0];
1495
                                                        6'd13:{Inter_ref_02_04,Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding[31:8];
1496
                                                        6'd12:{Inter_ref_06_04,Inter_ref_05_04,Inter_ref_04_04,Inter_ref_03_04} <= RefFrameOutPadding;
1497
                                                        6'd11:{Inter_ref_08_04,Inter_ref_07_04} <= RefFrameOutPadding[15:0];
1498
                                                        6'd10:{Inter_ref_02_05,Inter_ref_01_05,Inter_ref_00_05} <= RefFrameOutPadding[31:8];
1499
                                                        6'd9 :{Inter_ref_06_05,Inter_ref_05_05,Inter_ref_04_05,Inter_ref_03_05} <= RefFrameOutPadding;
1500
                                                        6'd8 :{Inter_ref_08_05,Inter_ref_07_05} <= RefFrameOutPadding[15:0];
1501
                                                        6'd7 :{Inter_ref_02_06,Inter_ref_01_06,Inter_ref_00_06} <= RefFrameOutPadding[31:8];
1502
                                                        6'd6 :{Inter_ref_06_06,Inter_ref_05_06,Inter_ref_04_06,Inter_ref_03_06} <= RefFrameOutPadding;
1503
                                                        6'd5 :{Inter_ref_08_06,Inter_ref_07_06} <= RefFrameOutPadding[15:0];
1504
 
1505
                                                        6'd4 :Inter_ref_02_07 <= RefFrameOutPadding[31:24];
1506
                                                        6'd3 :{Inter_ref_06_07,Inter_ref_05_07,Inter_ref_04_07,Inter_ref_03_07} <= RefFrameOutPadding;
1507
                                                        6'd2 :Inter_ref_02_08 <= RefFrameOutPadding[31:24];
1508
                                                        6'd1 :{Inter_ref_06_08,Inter_ref_05_08,Inter_ref_04_08,Inter_ref_03_08} <= RefFrameOutPadding;
1509
                                                endcase
1510
                                        endcase
1511
                                endcase
1512
                        endcase
1513
                else if (IsInterChroma && blk4x4_inter_preload_counter != 0)
1514
                        begin
1515
                                if (mv_below8x8_curr == 1'b0)
1516
                                        begin
1517
                                                if (xFracC == 0 && yFracC == 0)   // 8 or 4 cycles
1518
                                                        case (xInt_org_unclip_1to0)
1519
                                                                2'b00:
1520
                                                                case (blk4x4_inter_preload_counter)
1521
                                                                        6'd4:{Inter_ref_03_00,Inter_ref_02_00,Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding;
1522
                                                                        6'd3:{Inter_ref_03_01,Inter_ref_02_01,Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding;
1523
                                                                        6'd2:{Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding;
1524
                                                                        6'd1:{Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding;
1525
                                                                endcase
1526
                                                                2'b01:
1527
                                                                case (blk4x4_inter_preload_counter)
1528
                                                                        6'd8:{Inter_ref_02_00,Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding[31:8];
1529
                                                                        6'd7:Inter_ref_03_00 <= RefFrameOutPadding[7:0];
1530
                                                                        6'd6:{Inter_ref_02_01,Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding[31:8];
1531
                                                                        6'd5:Inter_ref_03_01 <= RefFrameOutPadding[7:0];
1532
                                                                        6'd4:{Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:8];
1533
                                                                        6'd3:Inter_ref_03_02 <= RefFrameOutPadding[7:0];
1534
                                                                        6'd2:{Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:8];
1535
                                                                        6'd1:Inter_ref_03_03 <= RefFrameOutPadding[7:0];
1536
                                                                endcase
1537
                                                                2'b10:
1538
                                                                case (blk4x4_inter_preload_counter)
1539
                                                                        6'd8:{Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding[31:16];
1540
                                                                        6'd7:{Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding[15:0];
1541
                                                                        6'd6:{Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding[31:16];
1542
                                                                        6'd5:{Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding[15:0];
1543
                                                                        6'd4:{Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:16];
1544
                                                                        6'd3:{Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding[15:0];
1545
                                                                        6'd2:{Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:16];
1546
                                                                        6'd1:{Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding[15:0];
1547
                                                                endcase
1548
                                                                2'b11:
1549
                                                                case (blk4x4_inter_preload_counter)
1550
                                                                        6'd8:Inter_ref_00_00 <= RefFrameOutPadding[31:24];
1551
                                                                        6'd7:{Inter_ref_03_00,Inter_ref_02_00,Inter_ref_01_00} <= RefFrameOutPadding[23:0];
1552
                                                                        6'd6:Inter_ref_00_01 <= RefFrameOutPadding[31:24];
1553
                                                                        6'd5:{Inter_ref_03_01,Inter_ref_02_01,Inter_ref_01_01} <= RefFrameOutPadding[23:0];
1554
                                                                        6'd4:Inter_ref_00_02 <= RefFrameOutPadding[31:24];
1555
                                                                        6'd3:{Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02} <= RefFrameOutPadding[23:0];
1556
                                                                        6'd2:Inter_ref_00_03 <= RefFrameOutPadding[31:24];
1557
                                                                        6'd1:{Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03} <= RefFrameOutPadding[23:0];
1558
                                                                endcase
1559
                                                        endcase
1560
                                                else
1561
                                                        case (xInt_org_unclip_1to0)
1562
                                                                2'b00:
1563
                                                                case(blk4x4_inter_preload_counter)
1564
                                                                        6'd10:{Inter_ref_03_00,Inter_ref_02_00,Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding;
1565
                                                                        6'd9 :Inter_ref_04_00 <= RefFrameOutPadding[7:0];
1566
                                                                        6'd8 :{Inter_ref_03_01,Inter_ref_02_01,Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding;
1567
                                                                        6'd7 :Inter_ref_04_01 <= RefFrameOutPadding[7:0];
1568
                                                                        6'd6 :{Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding;
1569
                                                                        6'd5 :Inter_ref_04_02 <= RefFrameOutPadding[7:0];
1570
                                                                        6'd4 :{Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding;
1571
                                                                        6'd3 :Inter_ref_04_03 <= RefFrameOutPadding[7:0];
1572
                                                                        6'd2 :{Inter_ref_03_04,Inter_ref_02_04,Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding;
1573
                                                                        6'd1 :Inter_ref_04_04 <= RefFrameOutPadding[7:0];
1574
                                                                endcase
1575
                                                                2'b01:
1576
                                                                case (blk4x4_inter_preload_counter)
1577
                                                                        6'd10:{Inter_ref_02_00,Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding[31:8];
1578
                                                                        6'd9 :{Inter_ref_04_00,Inter_ref_03_00} <= RefFrameOutPadding[15:0];
1579
                                                                        6'd8 :{Inter_ref_02_01,Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding[31:8];
1580
                                                                        6'd7 :{Inter_ref_04_01,Inter_ref_03_01} <= RefFrameOutPadding[15:0];
1581
                                                                        6'd6 :{Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:8];
1582
                                                                        6'd5 :{Inter_ref_04_02,Inter_ref_03_02} <= RefFrameOutPadding[15:0];
1583
                                                                        6'd4 :{Inter_ref_02_03,Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:8];
1584
                                                                        6'd3 :{Inter_ref_04_03,Inter_ref_03_03} <= RefFrameOutPadding[15:0];
1585
                                                                        6'd2 :{Inter_ref_02_04,Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding[31:8];
1586
                                                                        6'd1 :{Inter_ref_04_04,Inter_ref_03_04} <= RefFrameOutPadding[15:0];
1587
                                                                endcase
1588
                                                                2'b10:
1589
                                                                case (blk4x4_inter_preload_counter)
1590
                                                                        6'd10:{Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding[31:16];
1591
                                                                        6'd9 :{Inter_ref_04_00,Inter_ref_03_00,Inter_ref_02_00} <= RefFrameOutPadding[23:0];
1592
                                                                        6'd8 :{Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding[31:16];
1593
                                                                        6'd7 :{Inter_ref_04_01,Inter_ref_03_01,Inter_ref_02_01} <= RefFrameOutPadding[23:0];
1594
                                                                        6'd6 :{Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:16];
1595
                                                                        6'd5 :{Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02} <= RefFrameOutPadding[23:0];
1596
                                                                        6'd4 :{Inter_ref_01_03,Inter_ref_00_03} <= RefFrameOutPadding[31:16];
1597
                                                                        6'd3 :{Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03} <= RefFrameOutPadding[23:0];
1598
                                                                        6'd2 :{Inter_ref_01_04,Inter_ref_00_04} <= RefFrameOutPadding[31:16];
1599
                                                                        6'd1 :{Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04} <= RefFrameOutPadding[23:0];
1600
                                                                endcase
1601
                                                                2'b11:
1602
                                                                case (blk4x4_inter_preload_counter)
1603
                                                                        6'd10:Inter_ref_00_00 <= RefFrameOutPadding[31:24];
1604
                                                                        6'd9 :{Inter_ref_04_00,Inter_ref_03_00,Inter_ref_02_00,Inter_ref_01_00} <= RefFrameOutPadding;
1605
                                                                        6'd8 :Inter_ref_00_01 <= RefFrameOutPadding[31:24];
1606
                                                                        6'd7 :{Inter_ref_04_01,Inter_ref_03_01,Inter_ref_02_01,Inter_ref_01_01} <= RefFrameOutPadding;
1607
                                                                        6'd6 :Inter_ref_00_02 <= RefFrameOutPadding[31:24];
1608
                                                                        6'd5 :{Inter_ref_04_02,Inter_ref_03_02,Inter_ref_02_02,Inter_ref_01_02} <= RefFrameOutPadding;
1609
                                                                        6'd4 :Inter_ref_00_03 <= RefFrameOutPadding[31:24];
1610
                                                                        6'd3 :{Inter_ref_04_03,Inter_ref_03_03,Inter_ref_02_03,Inter_ref_01_03} <= RefFrameOutPadding;
1611
                                                                        6'd2 :Inter_ref_00_04 <= RefFrameOutPadding[31:24];
1612
                                                                        6'd1 :{Inter_ref_04_04,Inter_ref_03_04,Inter_ref_02_04,Inter_ref_01_04} <= RefFrameOutPadding;
1613
                                                                endcase
1614
                                                        endcase
1615
                                        end
1616
                                else    // mv_below8x8_curr == 1'b1
1617
                                        begin
1618
                                                if (xFracC == 0 && yFracC == 0)   // 4 or 2 cycles
1619
                                                        case (xInt_org_unclip_1to0)
1620
                                                                2'b00:
1621
                                                                case (blk4x4_inter_preload_counter)
1622
                                                                        6'd2:{Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding[15:0];
1623
                                                                        6'd1:{Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding[15:0];
1624
                                                                endcase
1625
                                                                2'b01:
1626
                                                                case (blk4x4_inter_preload_counter)
1627
                                                                        6'd2:{Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding[23:8];
1628
                                                                        6'd1:{Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding[23:8];
1629
                                                                endcase
1630
                                                                2'b10:
1631
                                                                case (blk4x4_inter_preload_counter)
1632
                                                                        6'd2:{Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding[31:16];
1633
                                                                        6'd1:{Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding[31:16];
1634
                                                                endcase
1635
                                                                2'b11:
1636
                                                                case (blk4x4_inter_preload_counter)
1637
                                                                        6'd4:Inter_ref_00_00 <= RefFrameOutPadding[31:24];
1638
                                                                        6'd3:Inter_ref_01_00 <= RefFrameOutPadding[7:0];
1639
                                                                        6'd2:Inter_ref_00_01 <= RefFrameOutPadding[31:24];
1640
                                                                        6'd1:Inter_ref_01_01 <= RefFrameOutPadding[7:0];
1641
                                                                endcase
1642
                                                        endcase
1643
                                                else    // 6 or 3 cycles
1644
                                                        case (xInt_org_unclip_1to0)
1645
                                                                2'b00:
1646
                                                                case (blk4x4_inter_preload_counter)
1647
                                                                        6'd3:{Inter_ref_02_00,Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding[23:0];
1648
                                                                        6'd2:{Inter_ref_02_01,Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding[23:0];
1649
                                                                        6'd1:{Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[23:0];
1650
                                                                endcase
1651
                                                                2'b01:
1652
                                                                case (blk4x4_inter_preload_counter)
1653
                                                                        6'd3:{Inter_ref_02_00,Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding[31:8];
1654
                                                                        6'd2:{Inter_ref_02_01,Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding[31:8];
1655
                                                                        6'd1:{Inter_ref_02_02,Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:8];
1656
                                                                endcase
1657
                                                                2'b10:
1658
                                                                case (blk4x4_inter_preload_counter)
1659
                                                                        6'd6:{Inter_ref_01_00,Inter_ref_00_00} <= RefFrameOutPadding[31:16];
1660
                                                                        6'd5:Inter_ref_02_00 <= RefFrameOutPadding[7:0];
1661
                                                                        6'd4:{Inter_ref_01_01,Inter_ref_00_01} <= RefFrameOutPadding[31:16];
1662
                                                                        6'd3:Inter_ref_02_01 <= RefFrameOutPadding[7:0];
1663
                                                                        6'd2:{Inter_ref_01_02,Inter_ref_00_02} <= RefFrameOutPadding[31:16];
1664
                                                                        6'd1:Inter_ref_02_02 <= RefFrameOutPadding[7:0];
1665
                                                                endcase
1666
                                                                2'b11:
1667
                                                                case (blk4x4_inter_preload_counter)
1668
                                                                        6'd6:Inter_ref_00_00 <= RefFrameOutPadding[31:24];
1669
                                                                        6'd5:{Inter_ref_02_00,Inter_ref_01_00} <= RefFrameOutPadding[15:0];
1670
                                                                        6'd4:Inter_ref_00_01 <= RefFrameOutPadding[31:24];
1671
                                                                        6'd3:{Inter_ref_02_01,Inter_ref_01_01} <= RefFrameOutPadding[15:0];
1672
                                                                        6'd2:Inter_ref_00_02 <= RefFrameOutPadding[31:24];
1673
                                                                        6'd1:{Inter_ref_02_02,Inter_ref_01_02} <= RefFrameOutPadding[15:0];
1674
                                                                endcase
1675
                                                        endcase
1676
                                        end
1677
                        end
1678
 
1679
endmodule
1680
 
1681
 
1682
 
1683
 
1684
 
1685
 
1686
 
1687
 
1688
 
1689
 
1690
 
1691
 
1692
 
1693
 
1694
 
1695
 
1696
 
1697
 
1698
 
1699
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.