OpenCores
URL https://opencores.org/ocsvn/nova/nova/trunk

Subversion Repositories nova

[/] [nova/] [tags/] [Start/] [src/] [cavlc_decoder.v] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eexuke
//--------------------------------------------------------------------------------------------------
2
// Design    : nova
3
// Author(s) : Ke Xu
4
// Email           : eexuke@yahoo.com
5
// File      : cavlc_decoder.v
6
// Generated : June 12,2005
7
// Copyright (C) 2008 Ke Xu                
8
//-------------------------------------------------------------------------------------------------
9
// Description 
10
// cavlc_decoder top module
11
//-------------------------------------------------------------------------------------------------
12
 
13
// synopsys translate_off
14
`include "timescale.v"
15
// synopsys translate_on
16
`include "nova_defines.v"
17
 
18
module cavlc_decoder (clk,reset_n,gclk_end_of_MB_DEC,
19
        gclk_LumaLevel_mbAddrB_RF,gclk_ChromaLevel_Cb_mbAddrB_RF,gclk_ChromaLevel_Cr_mbAddrB_RF,
20
        slice_data_state,residual_state,cavlc_decoder_state,mb_num_h,mb_num_v,i8x8,i4x4,i4x4_CbCr,
21
        i_level,i_run,i_TotalCoeff,coeffNum,
22
        heading_one_pos,BitStream_buffer_output,
23
        CodedBlockPatternLuma,CodedBlockPatternChroma,suffix_length_initialized,IsRunLoop,
24
 
25
        Luma_8x8_AllZeroCoeff_mbAddrA,LumaLevel_mbAddrA,LumaLevel_CurrMb0,LumaLevel_CurrMb1,LumaLevel_CurrMb2,LumaLevel_CurrMb3,
26
        LumaLevel_mbAddrB_dout,LumaLevel_mbAddrB_cs_n,ChromaLevel_Cb_mbAddrB_cs_n,ChromaLevel_Cr_mbAddrB_cs_n,
27
        end_of_one_residual_block,end_of_NonZeroCoeff_CAVLC,
28
        cavlc_consumed_bits_len,TotalCoeff,TrailingOnes,maxNumCoeff,zerosLeft,run,
29
        coeffLevel_0,coeffLevel_1,coeffLevel_2, coeffLevel_3, coeffLevel_4, coeffLevel_5, coeffLevel_6, coeffLevel_7,
30
        coeffLevel_8,coeffLevel_9,coeffLevel_10,coeffLevel_11,coeffLevel_12,coeffLevel_13,coeffLevel_14,coeffLevel_15);
31
        input clk,reset_n;
32
        input gclk_end_of_MB_DEC;
33
        input gclk_LumaLevel_mbAddrB_RF;
34
        input gclk_ChromaLevel_Cb_mbAddrB_RF;
35
        input gclk_ChromaLevel_Cr_mbAddrB_RF;
36
        input [3:0] slice_data_state;
37
        input [3:0] residual_state;
38
        input [3:0] cavlc_decoder_state;
39
        input [3:0]      mb_num_h;
40
        input [3:0] mb_num_v;
41
        input [1:0] i8x8;
42
        input [1:0] i4x4;
43
        input [1:0] i4x4_CbCr;
44
        input [3:0] i_level;
45
        input [3:0] i_run;
46
        input [3:0] i_TotalCoeff;
47
        input [3:0] coeffNum;
48
        input [3:0] heading_one_pos;
49
        input [15:0] BitStream_buffer_output;
50
        input [3:0] CodedBlockPatternLuma;
51
        input [1:0] CodedBlockPatternChroma;
52
        input suffix_length_initialized;
53
        input IsRunLoop;
54
 
55
        output [1:0] Luma_8x8_AllZeroCoeff_mbAddrA;
56
        output [19:0] LumaLevel_mbAddrA;
57
        output [19:0] LumaLevel_CurrMb0,LumaLevel_CurrMb1,LumaLevel_CurrMb2,LumaLevel_CurrMb3;
58
        output [19:0] LumaLevel_mbAddrB_dout;
59
        output LumaLevel_mbAddrB_cs_n;
60
        output ChromaLevel_Cb_mbAddrB_cs_n;
61
        output ChromaLevel_Cr_mbAddrB_cs_n;
62
        output end_of_one_residual_block;
63
        output end_of_NonZeroCoeff_CAVLC;
64
        output [4:0] cavlc_consumed_bits_len;
65
        output [4:0] TotalCoeff;
66
        output [1:0] TrailingOnes;
67
        output [4:0] maxNumCoeff;
68
        output [3:0] zerosLeft;
69
        output [3:0] run;
70
        output [8:0] coeffLevel_0, coeffLevel_1, coeffLevel_2,coeffLevel_3, coeffLevel_4, coeffLevel_5, coeffLevel_6;
71
        output [8:0] coeffLevel_7, coeffLevel_8, coeffLevel_9,coeffLevel_10,coeffLevel_11,coeffLevel_12,coeffLevel_13;
72
        output [8:0] coeffLevel_14,coeffLevel_15;
73
 
74
        wire LumaLevel_mbAddrB_cs_n,LumaLevel_mbAddrB_wr_n;
75
        wire [3:0] LumaLevel_mbAddrB_rd_addr,LumaLevel_mbAddrB_wr_addr;
76
        wire [19:0] LumaLevel_mbAddrB_din;
77
        wire [19:0] LumaLevel_mbAddrB_dout;
78
        wire ChromaLevel_Cb_mbAddrB_cs_n,ChromaLevel_Cb_mbAddrB_wr_n;
79
        wire [3:0] ChromaLevel_Cb_mbAddrB_rd_addr,ChromaLevel_Cb_mbAddrB_wr_addr;
80
        wire [9:0] ChromaLevel_Cb_mbAddrB_din;
81
        wire [9:0] ChromaLevel_Cb_mbAddrB_dout;
82
        wire ChromaLevel_Cr_mbAddrB_cs_n,ChromaLevel_Cr_mbAddrB_wr_n;
83
        wire [3:0] ChromaLevel_Cr_mbAddrB_rd_addr,ChromaLevel_Cr_mbAddrB_wr_addr;
84
        wire [9:0] ChromaLevel_Cr_mbAddrB_din;
85
        wire [9:0] ChromaLevel_Cr_mbAddrB_dout;
86
        wire [4:0] nC;
87
        wire [4:0] NumCoeffTrailingOnes_len;
88
        wire [3:0] levelSuffixSize;
89
        wire [8:0] level_0,level_1,level_2, level_3, level_4, level_5, level_6, level_7;
90
        wire [8:0] level_8,level_9,level_10,level_11,level_12,level_13,level_14,level_15;
91
        wire [3:0] total_zeros;
92
        wire [3:0] total_zeros_len;
93
        wire [3:0] run_of_zeros_len;
94
 
95
        nC_decoding nC_decoding (
96
                .clk(clk),
97
                .reset_n(reset_n),
98
                .gclk_end_of_MB_DEC(gclk_end_of_MB_DEC),
99
                .cavlc_decoder_state(cavlc_decoder_state),
100
                .residual_state(residual_state),
101
                .slice_data_state(slice_data_state),
102
                .mb_num_h(mb_num_h),
103
                .mb_num_v(mb_num_v),
104
                .i8x8(i8x8),
105
                .i4x4(i4x4),
106
                .i4x4_CbCr(i4x4_CbCr),
107
                .CodedBlockPatternLuma(CodedBlockPatternLuma),
108
                .CodedBlockPatternChroma(CodedBlockPatternChroma),
109
                .LumaLevel_mbAddrB_dout(LumaLevel_mbAddrB_dout),
110
                .ChromaLevel_Cb_mbAddrB_dout(ChromaLevel_Cb_mbAddrB_dout),
111
                .ChromaLevel_Cr_mbAddrB_dout(ChromaLevel_Cr_mbAddrB_dout),
112
                .end_of_one_residual_block(end_of_one_residual_block),
113
                .TotalCoeff(TotalCoeff),
114
 
115
                .nC(nC),
116
                .Luma_8x8_AllZeroCoeff_mbAddrA(Luma_8x8_AllZeroCoeff_mbAddrA),
117
                .LumaLevel_mbAddrA(LumaLevel_mbAddrA),
118
                .LumaLevel_CurrMb0(LumaLevel_CurrMb0),
119
                .LumaLevel_CurrMb1(LumaLevel_CurrMb1),
120
                .LumaLevel_CurrMb2(LumaLevel_CurrMb2),
121
                .LumaLevel_CurrMb3(LumaLevel_CurrMb3),
122
                .LumaLevel_mbAddrB_cs_n(LumaLevel_mbAddrB_cs_n),
123
                .LumaLevel_mbAddrB_wr_n(LumaLevel_mbAddrB_wr_n),
124
                .LumaLevel_mbAddrB_rd_addr(LumaLevel_mbAddrB_rd_addr),
125
                .LumaLevel_mbAddrB_wr_addr(LumaLevel_mbAddrB_wr_addr),
126
                .LumaLevel_mbAddrB_din(LumaLevel_mbAddrB_din),
127
                .ChromaLevel_Cb_mbAddrB_cs_n(ChromaLevel_Cb_mbAddrB_cs_n),
128
                .ChromaLevel_Cb_mbAddrB_wr_n(ChromaLevel_Cb_mbAddrB_wr_n),
129
                .ChromaLevel_Cb_mbAddrB_rd_addr(ChromaLevel_Cb_mbAddrB_rd_addr),
130
                .ChromaLevel_Cb_mbAddrB_wr_addr(ChromaLevel_Cb_mbAddrB_wr_addr),
131
                .ChromaLevel_Cb_mbAddrB_din(ChromaLevel_Cb_mbAddrB_din),
132
                .ChromaLevel_Cr_mbAddrB_cs_n(ChromaLevel_Cr_mbAddrB_cs_n),
133
                .ChromaLevel_Cr_mbAddrB_wr_n(ChromaLevel_Cr_mbAddrB_wr_n),
134
                .ChromaLevel_Cr_mbAddrB_rd_addr(ChromaLevel_Cr_mbAddrB_rd_addr),
135
                .ChromaLevel_Cr_mbAddrB_wr_addr(ChromaLevel_Cr_mbAddrB_wr_addr),
136
                .ChromaLevel_Cr_mbAddrB_din(ChromaLevel_Cr_mbAddrB_din)
137
                );
138
        ram_async_1r_sync_1w # (`LumaLevel_mbAddrB_RF_data_width,`LumaLevel_mbAddrB_RF_data_depth)
139
        LumaLevel_mbAddrB_RF(
140
                .clk(gclk_LumaLevel_mbAddrB_RF),
141
                .rst_n(reset_n),
142
                .cs_n(LumaLevel_mbAddrB_cs_n),
143
                .wr_n(LumaLevel_mbAddrB_wr_n),
144
                .rd_addr(LumaLevel_mbAddrB_rd_addr),
145
                .wr_addr(LumaLevel_mbAddrB_wr_addr),
146
                .data_in(LumaLevel_mbAddrB_din),
147
                .data_out(LumaLevel_mbAddrB_dout)
148
                );
149
        ram_async_1r_sync_1w # (`ChromaLevel_Cb_mbAddrB_RF_data_width,`ChromaLevel_Cb_mbAddrB_RF_data_depth)
150
                ChromaLevel_Cb_mbAddrB_RF(
151
                .clk(gclk_ChromaLevel_Cb_mbAddrB_RF),
152
                .rst_n(reset_n),
153
                .cs_n(ChromaLevel_Cb_mbAddrB_cs_n),
154
                .wr_n(ChromaLevel_Cb_mbAddrB_wr_n),
155
                .rd_addr(ChromaLevel_Cb_mbAddrB_rd_addr),
156
                .wr_addr(ChromaLevel_Cb_mbAddrB_wr_addr),
157
                .data_in(ChromaLevel_Cb_mbAddrB_din),
158
                .data_out(ChromaLevel_Cb_mbAddrB_dout)
159
                );
160
        ram_async_1r_sync_1w # (`ChromaLevel_Cr_mbAddrB_RF_data_width,`ChromaLevel_Cr_mbAddrB_RF_data_depth)
161
                ChromaLevel_Cr_mbAddrB_RF(
162
                .clk(gclk_ChromaLevel_Cr_mbAddrB_RF),
163
                .rst_n(reset_n),
164
                .cs_n(ChromaLevel_Cr_mbAddrB_cs_n),
165
                .wr_n(ChromaLevel_Cr_mbAddrB_wr_n),
166
                .rd_addr(ChromaLevel_Cr_mbAddrB_rd_addr),
167
                .wr_addr(ChromaLevel_Cr_mbAddrB_wr_addr),
168
                .data_in(ChromaLevel_Cr_mbAddrB_din),
169
                .data_out(ChromaLevel_Cr_mbAddrB_dout)
170
                );
171
        NumCoeffTrailingOnes_decoding NumCoeffTrailingOnes_decoding(
172
                .clk(clk),
173
                .reset_n(reset_n),
174
                .cavlc_decoder_state(cavlc_decoder_state),
175
                .heading_one_pos(heading_one_pos),
176
                .BitStream_buffer_output(BitStream_buffer_output),
177
                .nC(nC),
178
                .TrailingOnes(TrailingOnes),
179
                .TotalCoeff(TotalCoeff),
180
                .NumCoeffTrailingOnes_len(NumCoeffTrailingOnes_len)
181
                );
182
        level_decoding level_decoding(
183
                .clk(clk),
184
                .reset_n(reset_n),
185
                .cavlc_decoder_state(cavlc_decoder_state),
186
                .heading_one_pos(heading_one_pos),
187
                .suffix_length_initialized(suffix_length_initialized),
188
                .i_level(i_level),
189
                .TotalCoeff(TotalCoeff),
190
                .TrailingOnes(TrailingOnes),
191
                .BitStream_buffer_output(BitStream_buffer_output),
192
                .levelSuffixSize(levelSuffixSize),
193
                .level_0(level_0),
194
                .level_1(level_1),
195
                .level_2(level_2),
196
                .level_3(level_3),
197
                .level_4(level_4),
198
                .level_5(level_5),
199
                .level_6(level_6),
200
                .level_7(level_7),
201
                .level_8(level_8),
202
                .level_9(level_9),
203
                .level_10(level_10),
204
                .level_11(level_11),
205
                .level_12(level_12),
206
                .level_13(level_13),
207
                .level_14(level_14),
208
                .level_15(level_15)
209
                );
210
        total_zeros_decoding total_zeros_decoding(
211
                .clk(clk),
212
                .reset_n(reset_n),
213
                .residual_state(residual_state),
214
                .cavlc_decoder_state(cavlc_decoder_state),
215
                .TotalCoeff_3to0(TotalCoeff[3:0]),
216
                .heading_one_pos(heading_one_pos),
217
                .BitStream_buffer_output(BitStream_buffer_output),
218
                .maxNumCoeff(maxNumCoeff),
219
                .total_zeros(total_zeros),
220
                .total_zeros_len(total_zeros_len)
221
                );
222
        run_decoding run_decoding(
223
                .clk(clk),
224
                .reset_n(reset_n),
225
                .cavlc_decoder_state(cavlc_decoder_state),
226
                .BitStream_buffer_output(BitStream_buffer_output),
227
                .total_zeros(total_zeros),
228
                .level_0(level_0),
229
                .level_1(level_1),
230
                .level_2(level_2),
231
                .level_3(level_3),
232
                .level_4(level_4),
233
                .level_5(level_5),
234
                .level_6(level_6),
235
                .level_7(level_7),
236
                .level_8(level_8),
237
                .level_9(level_9),
238
                .level_10(level_10),
239
                .level_11(level_11),
240
                .level_12(level_12),
241
                .level_13(level_13),
242
                .level_14(level_14),
243
                .level_15(level_15),
244
                .TotalCoeff(TotalCoeff),
245
                .i_run(i_run),
246
                .i_TotalCoeff(i_TotalCoeff),
247
                .coeffNum(coeffNum),
248
                .IsRunLoop(IsRunLoop),
249
 
250
                .run_of_zeros_len(run_of_zeros_len),
251
                .zerosLeft(zerosLeft),
252
                .run(run),
253
                .coeffLevel_0(coeffLevel_0),
254
                .coeffLevel_1(coeffLevel_1),
255
                .coeffLevel_2(coeffLevel_2),
256
                .coeffLevel_3(coeffLevel_3),
257
                .coeffLevel_4(coeffLevel_4),
258
                .coeffLevel_5(coeffLevel_5),
259
                .coeffLevel_6(coeffLevel_6),
260
                .coeffLevel_7(coeffLevel_7),
261
                .coeffLevel_8(coeffLevel_8),
262
                .coeffLevel_9(coeffLevel_9),
263
                .coeffLevel_10(coeffLevel_10),
264
                .coeffLevel_11(coeffLevel_11),
265
                .coeffLevel_12(coeffLevel_12),
266
                .coeffLevel_13(coeffLevel_13),
267
                .coeffLevel_14(coeffLevel_14),
268
                .coeffLevel_15(coeffLevel_15)
269
                );
270
        end_of_blk_decoding end_of_blk_decoding(
271
                .reset_n(reset_n),
272
                .cavlc_decoder_state(cavlc_decoder_state),
273
                .TotalCoeff(TotalCoeff),
274
                .i_TotalCoeff(i_TotalCoeff),
275
                .end_of_one_residual_block(end_of_one_residual_block),
276
                .end_of_NonZeroCoeff_CAVLC(end_of_NonZeroCoeff_CAVLC)
277
                );
278
        cavlc_consumed_bits_decoding cavlc_consumed_bits_decoding(
279
                .cavlc_decoder_state(cavlc_decoder_state),
280
                .NumCoeffTrailingOnes_len(NumCoeffTrailingOnes_len),
281
                .TrailingOnes(TrailingOnes),
282
                .heading_one_pos(heading_one_pos),
283
                .levelSuffixSize(levelSuffixSize),
284
                .total_zeros_len(total_zeros_len),
285
                .run_of_zeros_len(run_of_zeros_len),
286
                .cavlc_consumed_bits_len(cavlc_consumed_bits_len)
287
                );
288
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.