OpenCores
URL https://opencores.org/ocsvn/nova/nova/trunk

Subversion Repositories nova

[/] [nova/] [tags/] [Start/] [src/] [rec_DF_RAM1_wrapper.v] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eexuke
//--------------------------------------------------------------------------------------------------
2
// Design      : H264Decoder
3
// Author      : KE XU
4
// Email           : kexu@ee.cuhk.edu.hk
5
// File        : rec_DF_RAM1.v
6
// Generated   : Dec 7 2005
7
//-------------------------------------------------------------------------------------------------
8
//
9
// Description : SRAM between reconstruction and deblocking filter (96x32bit)
10
//-------------------------------------------------------------------------------------------------
11
`timescale 1ns/1ns
12
module rec_DF_RAM1_wrapper (clk,gclk_rec_DF_RAM1,reset_n,
13
        rec_DF_RAM1_cs_n,rec_DF_RAM1_wr,rec_DF_RAM1_addr,rec_DF_RAM1_din,rec_DF_RAM1_dout);
14
        input clk;
15
        input gclk_rec_DF_RAM1;
16
        input reset_n;
17
        input rec_DF_RAM1_cs_n;
18
        input rec_DF_RAM1_wr;
19
        input [6:0] rec_DF_RAM1_addr;
20
        input [31:0] rec_DF_RAM1_din;
21
        output [31:0] rec_DF_RAM1_dout;
22
 
23
        reg rec_DF_RAM1_OEN;
24
        always @ (posedge clk)
25
                if (reset_n == 1'b0)
26
                        rec_DF_RAM1_OEN <= 1'b1;
27
                else if (!rec_DF_RAM1_cs_n && !rec_DF_RAM1_wr)
28
                        rec_DF_RAM1_OEN <= 1'b0;
29
                else
30
                        rec_DF_RAM1_OEN <= 1'b1;
31
 
32
        wire rec_DF_RAM1_CEN;
33
        assign rec_DF_RAM1_CEN = rec_DF_RAM1_cs_n & rec_DF_RAM1_OEN;
34
 
35
        rec_DF_RAM1_96x32 rec_DF_RAM1_96x32 (
36
                .CK(gclk_rec_DF_RAM1),
37
                .ADR(rec_DF_RAM1_addr),
38
                .DI(rec_DF_RAM1_din),
39
                .WEN(~rec_DF_RAM1_wr),
40
                .CEN(rec_DF_RAM1_CEN),
41
                .OEN(rec_DF_RAM1_OEN),
42
                .DOUT(rec_DF_RAM1_dout)
43
                );
44
endmodule
45
/*
46
module rec_DF_RAM1_96x32 (CK,ADR,DI,WEN,CEN,OEN,DOUT);
47
        input CK;
48
        input [6:0] ADR;
49
        input [31:0] DI;
50
        input WEN;
51
        input CEN;
52
        input OEN;
53
        output [31:0] DOUT;
54
        reg [31:0] DOUT;
55
        reg [31:0] RAM [0:95];
56
 
57
        always @ (posedge CK)
58
                if (!CEN &&  !WEN)
59
                        RAM[ADR] <= DI;
60
 
61
        always @ (posedge CK)
62
                if (!CEN && !OEN)
63
                        DOUT <= RAM[ADR];
64
endmodule*/
65
 
66
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.