OpenCores
URL https://opencores.org/ocsvn/nova/nova/trunk

Subversion Repositories nova

[/] [nova/] [tags/] [Start/] [src/] [rec_gclk_gen.v] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eexuke
//--------------------------------------------------------------------------------------------------
2
// Design    : nova
3
// Author(s) : Ke Xu
4
// Email           : eexuke@yahoo.com
5
// File      : rec_gclk_gen.v
6
// Generated : Jan 3, 2006
7
// Copyright (C) 2008 Ke Xu                
8
//-------------------------------------------------------------------------------------------------
9
// Description 
10
// Gated clock generation module for reconstruction
11
//-------------------------------------------------------------------------------------------------
12
 
13
// synopsys translate_off
14
`include "timescale.v"
15
// synopsys translate_on
16
`include "nova_defines.v"
17
 
18
module rec_gclk_gen(clk,
19
        //IQIT
20
        end_of_NonZeroCoeff_CAVLC,OneD_counter,TwoD_counter,rescale_counter,
21
        rounding_counter,residual_state,cavlc_decoder_state,
22
        gclk_1D,gclk_2D,gclk_rescale,gclk_rounding,
23
        //Intra pred
24
        mb_num_h,mb_num_v,NextMB_IsSkip,
25
        mb_type_general,blk4x4_rec_counter,blk4x4_sum_counter,blk4x4_intra_preload_counter,
26
        blk4x4_intra_precompute_counter,blk4x4_intra_calculate_counter,
27
        Intra4x4_predmode,Intra16x16_predmode,Intra_chroma_predmode,
28
        gclk_intra_mbAddrA_luma,gclk_intra_mbAddrA_Cb,gclk_intra_mbAddrA_Cr,
29
        gclk_intra_mbAddrB,gclk_intra_mbAddrC_luma,gclk_intra_mbAddrD,gclk_seed,
30
        //Inter pred
31
        blk4x4_inter_preload_counter,gclk_Inter_ref_rf,
32
        //sum
33
        Inter_blk4x4_pred_output_valid,gclk_pred_output,gclk_blk4x4_sum,
34
        //Deblocking filter
35
        end_of_MB_DEC,end_of_BS_DEC,DF_duration,
36
        gclk_end_of_MB_DEC,gclk_DF,
37
        //memory
38
        Intra_mbAddrB_RAM_rd,Intra_mbAddrB_RAM_wr,gclk_Intra_mbAddrB_RAM,
39
        rec_DF_RAM0_cs_n,gclk_rec_DF_RAM0,
40
        rec_DF_RAM1_cs_n,gclk_rec_DF_RAM1,
41
        DF_mbAddrA_RF_rd,DF_mbAddrA_RF_wr,gclk_DF_mbAddrA_RF,
42
        DF_mbAddrB_RAM_rd,DF_mbAddrB_RAM_wr,gclk_DF_mbAddrB_RAM
43
        );
44
        input clk;
45
        //IQIT
46
        input end_of_NonZeroCoeff_CAVLC;
47
        input [2:0] OneD_counter;
48
        input [2:0] TwoD_counter;
49
        input [2:0] rescale_counter;
50
        input [2:0] rounding_counter;
51
        input [3:0] residual_state;
52
        input [3:0] cavlc_decoder_state;
53
        output gclk_1D;
54
        output gclk_2D;
55
        output gclk_rescale;
56
        output gclk_rounding;
57
        //Intra pred
58
        input [3:0] mb_num_h;
59
        input [3:0] mb_num_v;
60
        input NextMB_IsSkip;
61
        input [3:0] mb_type_general;
62
        input [4:0] blk4x4_rec_counter;
63
        input [2:0] blk4x4_sum_counter;
64
        input [2:0] blk4x4_intra_preload_counter;
65
        input [3:0] blk4x4_intra_precompute_counter;
66
        input [2:0] blk4x4_intra_calculate_counter;
67
        input [3:0] Intra4x4_predmode;
68
        input [1:0] Intra16x16_predmode;
69
        input [1:0] Intra_chroma_predmode;
70
        output gclk_intra_mbAddrA_luma;
71
        output gclk_intra_mbAddrA_Cb;
72
        output gclk_intra_mbAddrA_Cr;
73
        output gclk_intra_mbAddrB;
74
        output gclk_intra_mbAddrC_luma;
75
        output gclk_intra_mbAddrD;
76
        output gclk_seed;
77
        //Inter pred
78
        input [5:0] blk4x4_inter_preload_counter;
79
        output gclk_Inter_ref_rf;
80
        //sum
81
        input [1:0] Inter_blk4x4_pred_output_valid;
82
        output gclk_pred_output;
83
        output gclk_blk4x4_sum;
84
        //DF
85
        input end_of_MB_DEC;
86
        input end_of_BS_DEC;
87
        input DF_duration;
88
        output gclk_end_of_MB_DEC;
89
        output gclk_DF;
90
        //memory
91
        input Intra_mbAddrB_RAM_rd;
92
        input Intra_mbAddrB_RAM_wr;
93
        output gclk_Intra_mbAddrB_RAM;
94
        input rec_DF_RAM0_cs_n;
95
        output gclk_rec_DF_RAM0;
96
        input rec_DF_RAM1_cs_n;
97
        output gclk_rec_DF_RAM1;
98
        input DF_mbAddrA_RF_rd,DF_mbAddrA_RF_wr;
99
        output gclk_DF_mbAddrA_RF;
100
        input DF_mbAddrB_RAM_rd,DF_mbAddrB_RAM_wr;
101
        output gclk_DF_mbAddrB_RAM;
102
 
103
        parameter rst_residual              = 4'b0000;
104
        parameter Intra16x16DCLevel_s   = 4'b0001;
105
        parameter Intra16x16ACLevel_s   = 4'b0011;
106
        parameter Intra16x16ACLevel_0_s = 4'b0010;
107
        parameter LumaLevel_s                   = 4'b0110;
108
        parameter LumaLevel_0_s             = 4'b0111;
109
        parameter ChromaDCLevel_Cb_s    = 4'b0101;
110
        parameter ChromaDCLevel_Cr_s    = 4'b0100;
111
        parameter ChromaACLevel_Cb_s    = 4'b1100;
112
        parameter ChromaACLevel_Cr_s    = 4'b1101;
113
 
114
        parameter Intra4x4_Vertical                     = 4'b0000;
115
        parameter Intra4x4_Horizontal                   = 4'b0001;
116
        parameter Intra4x4_DC                                   = 4'b0010;
117
        parameter Intra4x4_Diagonal_Down_Left   = 4'b0011;
118
        parameter Intra4x4_Diagonal_Down_Right  = 4'b0100;
119
        parameter Intra4x4_Vertical_Right               = 4'b0101;
120
        parameter Intra4x4_Horizontal_Down              = 4'b0110;
121
        parameter Intra4x4_Vertical_Left                = 4'b0111;
122
        parameter Intra4x4_Horizontal_Up                = 4'b1000;
123
 
124
        parameter Intra16x16_Plane                      = 2'b11;
125
        parameter Intra_chroma_Plane            = 2'b11;
126
 
127
        parameter NumCoeffTrailingOnes_LUT = 4'b0010;
128
        //-------------------------------------------------
129
        //IQIT
130
        //-------------------------------------------------
131
        //gclk_end_of_one_residual_block
132
        //reg l_end_of_one_residual_block;
133
        //wire gclk_end_of_one_residual_block;
134
        //always @ (clk or end_of_one_residual_block)
135
        //      if (!clk) l_end_of_one_residual_block <= end_of_one_residual_block;
136
        //assign gclk_end_of_one_residual_block = clk & l_end_of_one_residual_block;
137
 
138
        //gclk_endof1NonZeroCoeffResBlk
139
        //reg l_end_of_NonZeroCoeff_CAVLC;
140
        //wire gclk_endof1NonZeroCoeffResBlk;
141
        //always @ (clk or end_of_NonZeroCoeff_CAVLC)
142
        //      if (!clk) l_end_of_NonZeroCoeff_CAVLC <= end_of_NonZeroCoeff_CAVLC;
143
        //assign gclk_endof1NonZeroCoeffResBlk = clk & l_end_of_NonZeroCoeff_CAVLC;
144
 
145
        //gclk_1D
146
        wire OneD_en;
147
        reg l_OneD_en;
148
        wire gclk_1D;
149
        assign OneD_en = (
150
        //      trap DC case after CAVLC:residual_state is still available now 
151
        (end_of_NonZeroCoeff_CAVLC == 1'b1 && cavlc_decoder_state != `NumCoeffTrailingOnes_LUT &&
152
        (residual_state == `Intra16x16DCLevel_s || residual_state == `ChromaDCLevel_Cb_s ||
153
        residual_state == `ChromaDCLevel_Cr_s)) ||
154
    //  trap AC case after rescale:residual_state is still available now
155
        ((residual_state == `Intra16x16ACLevel_s || residual_state == `LumaLevel_s || residual_state == `ChromaACLevel_Cb_s ||
156
        residual_state == `ChromaACLevel_Cr_s) && rescale_counter == 3'b100)    ||
157
        //      trap internal loop
158
        OneD_counter != 0);
159
        always @ (clk or OneD_en)
160
                if (!clk) l_OneD_en <= OneD_en;
161
        assign gclk_1D = clk & l_OneD_en;
162
 
163
        //gclk_2D
164
        wire TwoD_en;
165
        reg l_TwoD_en;
166
        wire gclk_2D;
167
        assign TwoD_en = ((OneD_counter == 3'b001 && residual_state != `ChromaDCLevel_Cb_s && residual_state != `ChromaDCLevel_Cr_s)
168
                                        || TwoD_counter != 0);
169
        always @ (clk or TwoD_en)
170
                if (!clk) l_TwoD_en <= TwoD_en;
171
        assign gclk_2D = clk & l_TwoD_en;
172
 
173
        //gclk_rescale
174
        wire rescale_en;
175
        reg l_rescale_en;
176
        wire gclk_rescale;
177
        assign rescale_en = (
178
        //trap AC after CAVLC except all zero coeffs case
179
        (end_of_NonZeroCoeff_CAVLC == 1'b1 && cavlc_decoder_state != `NumCoeffTrailingOnes_LUT && (
180
        residual_state == `Intra16x16ACLevel_s || residual_state == `LumaLevel_s ||
181
        residual_state == `ChromaACLevel_Cb_s  || residual_state == `ChromaACLevel_Cr_s)) ||
182
        //trap DC case after IDCT,chromaDC:after 1D-IDCT,lumaDC:after 2D-IDCT
183
        ((residual_state == `Intra16x16DCLevel_s && TwoD_counter == 3'b100) ||
184
        ((residual_state == `ChromaDCLevel_Cb_s || residual_state == `ChromaDCLevel_Cr_s) && OneD_counter == 3'b001)) ||
185
        //trap internal loop
186
        rescale_counter != 0);
187
        always @ (clk or rescale_en)
188
                if (!clk) l_rescale_en <= rescale_en;
189
        and gc_rescale (gclk_rescale,clk,l_rescale_en);
190
 
191
        //gclk_rounding
192
        wire rounding_en;
193
        reg l_rounding_en;
194
        wire gclk_rounding;
195
        assign rounding_en = (((residual_state == `Intra16x16ACLevel_s || residual_state == `LumaLevel_s ||
196
        residual_state == `ChromaACLevel_Cb_s || residual_state == `ChromaACLevel_Cr_s) && TwoD_counter == 3'b100)
197
        || rounding_counter !=0)?1'b1:1'b0;
198
        always @ (clk or rounding_en)
199
                if (!clk) l_rounding_en <= rounding_en;
200
        assign gclk_rounding = clk & l_rounding_en;
201
        //-------------------------------------------------
202
        //Intra pred
203
        //-------------------------------------------------
204
        //1.gclk_intra_mbAddrA_luma @ Intra_pred_reg_ctrl.v
205
        //  For intra pred,update after every blk4x4 is summed
206
        //  For inter pred,update after blk4x4 5,7,13,15 is summed
207
        wire intra_mbAddrA_luma_ena;
208
        reg l_intra_mbAddrA_luma_ena;
209
        wire gclk_intra_mbAddrA_luma;
210
        wire Is_LumaRightMostBlk4x4;
211
 
212
        assign Is_LumaRightMostBlk4x4 = (blk4x4_rec_counter == 5 || blk4x4_rec_counter == 7 ||
213
                                                                        blk4x4_rec_counter == 13 || blk4x4_rec_counter == 15);
214
 
215
        assign intra_mbAddrA_luma_ena = (blk4x4_rec_counter < 16 &&     blk4x4_sum_counter == 3'd3 && (
216
        //Intra4x4:update when every blk4x4 summed
217
        (mb_type_general[3:2] == 2'b11 && !(mb_num_h == 10 && Is_LumaRightMostBlk4x4)) ||
218
        //Intra16x16 && Inter (including skip MB):update when blk4x4 5/7/13/15 is summed 
219
        //and NextMB_IsSkip is false
220
        (mb_type_general[3:2] != 2'b11 && mb_num_h != 10 && Is_LumaRightMostBlk4x4 && !NextMB_IsSkip)));
221
        always @ (clk or intra_mbAddrA_luma_ena)
222
                if (!clk) l_intra_mbAddrA_luma_ena <= intra_mbAddrA_luma_ena;
223
        assign gclk_intra_mbAddrA_luma = l_intra_mbAddrA_luma_ena & clk;
224
 
225
        //2.gclk_intra_mbAddrA_Cb       @ Intra_pred_reg_ctrl.v
226
        wire intra_mbAddrA_Cb_ena;
227
        reg l_intra_mbAddrA_Cb_ena;
228
        wire gclk_intra_mbAddrA_Cb;
229
        wire Is_CbRightMostBlk4x4;
230
        assign Is_CbRightMostBlk4x4 = (blk4x4_rec_counter == 17 || blk4x4_rec_counter == 19);
231
 
232
        assign intra_mbAddrA_Cb_ena = (blk4x4_sum_counter == 3'd3 && (
233
        //Intra4x4
234
        (mb_type_general[3:2] == 2'b11 && mb_num_h != 10 && Is_CbRightMostBlk4x4) ||
235
        //Intra16x16 && Inter (including skip MB)
236
        (mb_type_general[3:2] != 2'b11 && mb_num_h != 10 && Is_CbRightMostBlk4x4 && !NextMB_IsSkip)));
237
        always @ (clk or intra_mbAddrA_Cb_ena)
238
                if (!clk) l_intra_mbAddrA_Cb_ena <= intra_mbAddrA_Cb_ena;
239
        assign gclk_intra_mbAddrA_Cb = l_intra_mbAddrA_Cb_ena & clk;
240
 
241
        //3.gclk_intra_mbAddrA_Cr       @ Intra_pred_reg_ctrl.v 
242
        wire intra_mbAddrA_Cr_ena;
243
        reg l_intra_mbAddrA_Cr_ena;
244
        wire gclk_intra_mbAddrA_Cr;
245
        wire Is_CrRightMostBlk4x4;
246
        assign Is_CrRightMostBlk4x4 = (blk4x4_rec_counter == 21 || blk4x4_rec_counter == 23);
247
        assign intra_mbAddrA_Cr_ena = (blk4x4_sum_counter == 3'd3 && (
248
        //Intra4x4
249
        (mb_type_general[3:2] == 2'b11 && mb_num_h != 10 && Is_CrRightMostBlk4x4) ||
250
        //Intra16x16 && Inter (including skip MB)
251
        (mb_type_general[3:2] != 2'b11 && mb_num_h != 10 && Is_CrRightMostBlk4x4 && !NextMB_IsSkip)));
252
        always @ (clk or intra_mbAddrA_Cr_ena)
253
                if (!clk) l_intra_mbAddrA_Cr_ena <= intra_mbAddrA_Cr_ena;
254
        assign gclk_intra_mbAddrA_Cr = l_intra_mbAddrA_Cr_ena & clk;
255
 
256
        //4.gclk_intra_mbAddrB          @ Intra_pred_reg_ctrl.v
257
        //  Control the write of Intra_mbAddrB_reg0 ~ reg 15
258
        wire intra_mbAddrB_ena;
259
        reg l_intra_mbAddrB_ena;
260
        wire gclk_intra_mbAddrB;
261
        assign intra_mbAddrB_ena = (
262
        //      Intra4x4
263
        (mb_type_general[3:2] == 2'b11 && blk4x4_rec_counter < 16 &&
264
        (blk4x4_intra_preload_counter == 1 || blk4x4_sum_counter[2] != 1'b1)) ||
265
        //      Intra16x16
266
        (mb_type_general[3:2] == 2'b10 && blk4x4_rec_counter < 16 && blk4x4_intra_preload_counter !=0) ||
267
        //      Intra chroma
268
        (mb_type_general[3] == 1'b1    && blk4x4_rec_counter > 15 && blk4x4_intra_preload_counter !=0));
269
        always @ (clk or intra_mbAddrB_ena)
270
                if (!clk) l_intra_mbAddrB_ena <= intra_mbAddrB_ena;
271
        assign gclk_intra_mbAddrB = l_intra_mbAddrB_ena & clk;
272
 
273
        //5.gclk_intra_mbAddrC_luma     @ Intra_pred_reg_ctrl.v
274
        //1)For blkIdx=0/1/4/5,Intra_mbAddrC_reg are loaded from Intra_mbAddrB_RAM
275
        //2)For blkIdx other than 0/1/4/5,Intra_mbAddrC_reg directly obtained from Intra_mbAddrB_reg
276
        wire intra_mbAddrC_luma_ena;
277
        reg  l_intra_mbAddrC_luma_ena;
278
        wire gclk_intra_mbAddrC_luma;
279
        assign intra_mbAddrC_luma_ena = (mb_type_general[3:2] == 2'b11 && (Intra4x4_predmode == Intra4x4_Diagonal_Down_Left
280
        || Intra4x4_predmode == Intra4x4_Vertical_Left) && blk4x4_intra_preload_counter == 3'b010);
281
        always @ (clk or intra_mbAddrC_luma_ena)
282
                if (!clk) l_intra_mbAddrC_luma_ena <= intra_mbAddrC_luma_ena;
283
        assign gclk_intra_mbAddrC_luma = l_intra_mbAddrC_luma_ena & clk;
284
 
285
        //6.gclk_intra_mbAddrD  @ Intra_pred_reg_ctrl.v
286
        //1)For Intra4x4 blkIdx=1/4/5 or Intra16x16 & Chrom plane mode,Intra mbAddrD regs are loaded from 
287
        //  Intra_mbAddrB_RAM.  
288
        //2)For blkIdx other than 1/4/5,Intra mbAddrD reg are updated during sum
289
        wire intra_mbAddrD_ena;
290
        reg  l_intra_mbAddrD_ena;
291
        wire gclk_intra_mbAddrD;
292
        assign intra_mbAddrD_ena = (
293
        //1.Update when blkIdx = 15,19,23,from Intra_mbAddrB_RAM
294
        //  In reality,sum_counter = 0/1/2/3 are all OK for update,we choose sum_counter = 0 here 
295
        (blk4x4_sum_counter == 3'd1 && mb_num_h != 10 && mb_num_v != 0 && !NextMB_IsSkip &&
296
        (blk4x4_rec_counter == 15 || blk4x4_rec_counter == 19 || blk4x4_rec_counter == 23)) ||
297
        (mb_type_general[3:2] == 2'b11 && (
298
        //2.For blk4x4 1/4/5 mbAddrD reg update from Intra_mbAddrB_RAM
299
        (blk4x4_intra_preload_counter == 3'b010 &&
300
        (Intra4x4_predmode == Intra4x4_Diagonal_Down_Right || Intra4x4_predmode == Intra4x4_Vertical_Right
301
        || Intra4x4_predmode == Intra4x4_Horizontal_Down)) ||
302
        //3.For other blk4x4 mbAddrD reg update from sum output
303
        (blk4x4_sum_counter == 3'd3 && (
304
        blk4x4_rec_counter == 0  || blk4x4_rec_counter == 1 || blk4x4_rec_counter == 4 ||
305
        blk4x4_rec_counter == 2 || blk4x4_rec_counter == 3 || blk4x4_rec_counter == 6 ||
306
        blk4x4_rec_counter == 8 || blk4x4_rec_counter == 9 || blk4x4_rec_counter == 12)))));
307
        always @ (clk or intra_mbAddrD_ena)
308
                if (!clk) l_intra_mbAddrD_ena <= intra_mbAddrD_ena;
309
        assign gclk_intra_mbAddrD = l_intra_mbAddrD_ena & clk;
310
 
311
        //7.gclk_seed                           @ Intra_pred_reg_ctrl.v
312
        wire seed_ena;
313
        reg  l_seed_ena;
314
        wire gclk_seed;
315
        //assign seed_ena = (blk4x4_intra_precompute_counter == 1 || ((Intra16x16_predmode == Intra16x16_Plane ||
316
        //Intra_chroma_predmode == Intra_chroma_Plane) && blk4x4_intra_calculate_counter == 3));
317
 
318
        assign seed_ena = (blk4x4_intra_precompute_counter == 1 || (
319
        (Intra16x16_predmode == Intra16x16_Plane && (
320
                ((blk4x4_rec_counter == 0 || blk4x4_rec_counter == 2 || blk4x4_rec_counter == 8) &&
321
                blk4x4_intra_calculate_counter == 3'b100)               ||
322
                ((blk4x4_rec_counter == 1 || blk4x4_rec_counter == 3 || blk4x4_rec_counter == 9 ||
323
                blk4x4_rec_counter == 11) && blk4x4_intra_calculate_counter == 3'b001))) ||
324
        (Intra_chroma_predmode == Intra_chroma_Plane && (
325
                (blk4x4_rec_counter == 16 || blk4x4_rec_counter == 20) && blk4x4_intra_calculate_counter == 3'b100))));
326
 
327
        always @ (clk or seed_ena)
328
                if (!clk) l_seed_ena <= seed_ena;
329
        assign gclk_seed = l_seed_ena & clk;
330
 
331
        //-------------------------------------------------
332
        //Inter pred
333
        //-------------------------------------------------     
334
        wire Inter_ref_rf_ena;
335
        reg l_Inter_ref_rf_ena;
336
        wire gclk_Inter_ref_rf;
337
        assign Inter_ref_rf_ena = (blk4x4_inter_preload_counter == 0)? 1'b0:1'b1;
338
        always @ (clk or Inter_ref_rf_ena)
339
                if (!clk) l_Inter_ref_rf_ena <= Inter_ref_rf_ena;
340
        assign gclk_Inter_ref_rf = l_Inter_ref_rf_ena & clk;
341
 
342
        //-------------------------------------------------
343
        //sum 
344
        //-------------------------------------------------
345
        //1.gclk_pred_output
346
        wire pred_output_ena;
347
        reg l_pred_output_ena;
348
        wire gclk_pred_output;
349
        assign pred_output_ena = (blk4x4_intra_calculate_counter != 0 || Inter_blk4x4_pred_output_valid != 0)? 1'b1:1'b0;
350
        always @ (clk or pred_output_ena)
351
                if (!clk) l_pred_output_ena <= pred_output_ena;
352
        assign gclk_pred_output = l_pred_output_ena & clk;
353
 
354
        //2.gclk_blk4x4_sum
355
        wire blk4x4_sum_ena;
356
        reg l_blk4x4_sum_ena;
357
        wire gclk_blk4x4_sum;
358
        assign blk4x4_sum_ena = (blk4x4_sum_counter[2] != 1'b1);
359
        always @ (clk or blk4x4_sum_ena)
360
                if (!clk)       l_blk4x4_sum_ena <= blk4x4_sum_ena;
361
        assign gclk_blk4x4_sum = l_blk4x4_sum_ena & clk;
362
 
363
        //-------------------------------------------------
364
        //deblocking filter 
365
        //-------------------------------------------------     
366
        //1.gclk_end_of_MB_DEC
367
        reg l_end_of_MB_DEC;
368
        wire gclk_end_of_MB_DEC;
369
        always @ (clk or end_of_MB_DEC)
370
                if (!clk) l_end_of_MB_DEC <= end_of_MB_DEC;
371
        assign gclk_end_of_MB_DEC = l_end_of_MB_DEC & clk;
372
        //2.gclk_DF
373
        wire DF_ena;
374
        reg l_DF_ena;
375
        assign DF_ena = DF_duration | end_of_BS_DEC;
376
        always @ (clk or DF_ena)
377
                if (!clk)       l_DF_ena <= DF_ena;
378
        assign gclk_DF = l_DF_ena & clk;
379
 
380
        //-------------------------------------------------
381
        //memory 
382
        //-------------------------------------------------
383
        //gclk_Intra_mbAddrB_RAM
384
        wire Intra_mbAddrB_RAM_ena;
385
        reg l_Intra_mbAddrB_RAM_ena;
386
        wire gclk_Intra_mbAddrB_RAM;
387
        assign Intra_mbAddrB_RAM_ena = Intra_mbAddrB_RAM_rd | Intra_mbAddrB_RAM_wr;
388
        always @ (clk or Intra_mbAddrB_RAM_ena)
389
                if (!clk) l_Intra_mbAddrB_RAM_ena <= Intra_mbAddrB_RAM_ena;
390
        assign gclk_Intra_mbAddrB_RAM = clk & l_Intra_mbAddrB_RAM_ena;
391
 
392
        //gclk_rec_DF_RAM0
393
        reg l_rec_DF_RAM0_ena;
394
        wire gclk_rec_DF_RAM0;
395
        always @ (clk or rec_DF_RAM0_cs_n)
396
                if (!clk) l_rec_DF_RAM0_ena <= !rec_DF_RAM0_cs_n;
397
        assign gclk_rec_DF_RAM0 = clk & l_rec_DF_RAM0_ena;
398
 
399
        //gclk_rec_DF_RAM1
400
        reg l_rec_DF_RAM1_ena;
401
        wire gclk_rec_DF_RAM1;
402
        always @ (clk or rec_DF_RAM1_cs_n)
403
                if (!clk) l_rec_DF_RAM1_ena <= !rec_DF_RAM1_cs_n;
404
        assign gclk_rec_DF_RAM1 = clk & l_rec_DF_RAM1_ena;
405
 
406
        //gclk_DF_mbAddrA_RF
407
        wire DF_mbAddrA_RF_ena;
408
        reg l_DF_mbAddrA_RF_ena;
409
        wire gclk_DF_mbAddrA_RF;
410
        assign DF_mbAddrA_RF_ena = DF_mbAddrA_RF_rd | DF_mbAddrA_RF_wr;
411
        always @ (clk or DF_mbAddrA_RF_ena)
412
                if (!clk) l_DF_mbAddrA_RF_ena <= DF_mbAddrA_RF_ena;
413
        assign gclk_DF_mbAddrA_RF = clk & l_DF_mbAddrA_RF_ena;
414
 
415
        //gclk_DF_mbAddrB_RAM
416
        wire DF_mbAddrB_RAM_ena;
417
        reg l_DF_mbAddrB_RAM_ena;
418
        wire gclk_DF_mbAddrB_RAM;
419
        assign DF_mbAddrB_RAM_ena = DF_mbAddrB_RAM_rd | DF_mbAddrB_RAM_wr;
420
        always @ (clk or DF_mbAddrB_RAM_ena)
421
                if (!clk) l_DF_mbAddrB_RAM_ena <= DF_mbAddrB_RAM_ena;
422
        assign gclk_DF_mbAddrB_RAM = clk & l_DF_mbAddrB_RAM_ena;
423
 
424
 
425
endmodule
426
 
427
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.