OpenCores
URL https://opencores.org/ocsvn/nova/nova/trunk

Subversion Repositories nova

[/] [nova/] [trunk/] [src/] [Intra_pred_top.v] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eexuke
//--------------------------------------------------------------------------------------------------
2
// Design    : nova
3
// Author(s) : Ke Xu
4
// Email           : eexuke@yahoo.com
5
// File      : Intra_pred_top.v
6
// Generated : Sep 30,2005
7
// Copyright (C) 2008 Ke Xu                
8
//-------------------------------------------------------------------------------------------------
9
// Description 
10
// Top module of Intra prediction
11
//-------------------------------------------------------------------------------------------------
12
 
13
// synopsys translate_off
14
`include "timescale.v"
15
// synopsys translate_on
16
`include "nova_defines.v"
17
 
18
module Intra_pred_top (clk,reset_n,
19
        gclk_intra_mbAddrA_luma,gclk_intra_mbAddrA_Cb,gclk_intra_mbAddrA_Cr,gclk_intra_mbAddrB,
20
        gclk_intra_mbAddrC_luma,gclk_intra_mbAddrD,gclk_seed,gclk_Intra_mbAddrB_RAM,
21
        mb_num_h,mb_num_v,mb_type_general,NextMB_IsSkip,
22
        Intra16x16_predmode,Intra4x4_predmode_CurrMb,Intra_chroma_predmode,
23
        blk4x4_rec_counter,trigger_blk4x4_intra_pred,blk4x4_sum_counter,
24
        sum_right_column_reg,blk4x4_sum_PE0_out,blk4x4_sum_PE1_out,blk4x4_sum_PE2_out,blk4x4_sum_PE3_out,
25
        blk4x4_pred_output0, blk4x4_pred_output1, blk4x4_pred_output2,
26
        blk4x4_pred_output4, blk4x4_pred_output5, blk4x4_pred_output6,
27
        blk4x4_pred_output8, blk4x4_pred_output9, blk4x4_pred_output10,
28
        blk4x4_pred_output12,blk4x4_pred_output13,blk4x4_pred_output14,
29
        Intra_mbAddrB_RAM_wr,Intra_mbAddrB_RAM_wr_addr,Intra_mbAddrB_RAM_din,
30
 
31
        PE0_out,PE1_out,PE2_out,PE3_out,Intra4x4_predmode,
32
        blk4x4_intra_preload_counter,blk4x4_intra_precompute_counter,blk4x4_intra_calculate_counter,
33
        end_of_one_blk4x4_intra,Intra_mbAddrB_RAM_rd
34
        );
35
        input clk,reset_n;
36
        input gclk_intra_mbAddrA_luma;
37
        input gclk_intra_mbAddrA_Cb;
38
        input gclk_intra_mbAddrA_Cr;
39
        input gclk_intra_mbAddrB;
40
        input gclk_intra_mbAddrC_luma;
41
        input gclk_intra_mbAddrD;
42
        input gclk_seed;
43
        input gclk_Intra_mbAddrB_RAM;
44
        input [3:0] mb_num_h;
45
        input [3:0] mb_num_v;
46
        input [3:0] mb_type_general;
47
        input NextMB_IsSkip;
48
        input [1:0] Intra16x16_predmode;
49
        input [63:0] Intra4x4_predmode_CurrMb;
50
        input [1:0] Intra_chroma_predmode;
51
        input [4:0] blk4x4_rec_counter;
52
        input trigger_blk4x4_intra_pred;
53
        input [2:0] blk4x4_sum_counter;
54
        input [23:0] sum_right_column_reg;
55
        input [7:0] blk4x4_sum_PE0_out,blk4x4_sum_PE1_out,blk4x4_sum_PE2_out,blk4x4_sum_PE3_out;
56
        input [7:0] blk4x4_pred_output0, blk4x4_pred_output1, blk4x4_pred_output2;
57
        input [7:0] blk4x4_pred_output4, blk4x4_pred_output5, blk4x4_pred_output6;
58
        input [7:0] blk4x4_pred_output8, blk4x4_pred_output9, blk4x4_pred_output10;
59
        input [7:0] blk4x4_pred_output12,blk4x4_pred_output13,blk4x4_pred_output14;
60
        input Intra_mbAddrB_RAM_wr;
61
        input [6:0] Intra_mbAddrB_RAM_wr_addr;
62
        input [31:0] Intra_mbAddrB_RAM_din;
63
 
64
        output [7:0] PE0_out;
65
        output [7:0] PE1_out;
66
        output [7:0] PE2_out;
67
        output [7:0] PE3_out;
68
        output [3:0] Intra4x4_predmode;
69
        output [2:0] blk4x4_intra_preload_counter;
70
        output [3:0] blk4x4_intra_precompute_counter;
71
        output [2:0] blk4x4_intra_calculate_counter;
72
        output end_of_one_blk4x4_intra;
73
        output Intra_mbAddrB_RAM_rd;
74
 
75
        wire blkAddrA_availability,blkAddrB_availability;
76
        wire mbAddrA_availability,mbAddrB_availability,mbAddrC_availability;
77
        wire [7:0] blk4x4_sum_PE0_out,blk4x4_sum_PE1_out,blk4x4_sum_PE2_out,blk4x4_sum_PE3_out;
78
        wire [15:0] PE0_sum_out,PE3_sum_out;
79
        wire Intra_mbAddrB_RAM_rd;
80
        wire [6:0] Intra_mbAddrB_RAM_rd_addr;
81
        wire [31:0]      Intra_mbAddrB_RAM_dout;
82
 
83
        wire [7:0] Intra_mbAddrA_window0,Intra_mbAddrA_window1,Intra_mbAddrA_window2,Intra_mbAddrA_window3;
84
        wire [7:0] Intra_mbAddrA_reg0, Intra_mbAddrA_reg1, Intra_mbAddrA_reg2, Intra_mbAddrA_reg3;
85
        wire [7:0] Intra_mbAddrA_reg4, Intra_mbAddrA_reg5, Intra_mbAddrA_reg6, Intra_mbAddrA_reg7;
86
        wire [7:0] Intra_mbAddrA_reg8, Intra_mbAddrA_reg9, Intra_mbAddrA_reg10,Intra_mbAddrA_reg11;
87
        wire [7:0] Intra_mbAddrA_reg12,Intra_mbAddrA_reg13,Intra_mbAddrA_reg14,Intra_mbAddrA_reg15;
88
 
89
        wire [7:0] Intra_mbAddrB_window0,Intra_mbAddrB_window1,Intra_mbAddrB_window2,Intra_mbAddrB_window3;
90
        wire [7:0] Intra_mbAddrB_reg0, Intra_mbAddrB_reg1, Intra_mbAddrB_reg2, Intra_mbAddrB_reg3;
91
        wire [7:0] Intra_mbAddrB_reg4, Intra_mbAddrB_reg5, Intra_mbAddrB_reg6, Intra_mbAddrB_reg7;
92
        wire [7:0] Intra_mbAddrB_reg8, Intra_mbAddrB_reg9, Intra_mbAddrB_reg10,Intra_mbAddrB_reg11;
93
        wire [7:0] Intra_mbAddrB_reg12,Intra_mbAddrB_reg13,Intra_mbAddrB_reg14,Intra_mbAddrB_reg15;
94
 
95
        wire [7:0] Intra_mbAddrC_window0,Intra_mbAddrC_window1,Intra_mbAddrC_window2,Intra_mbAddrC_window3;
96
        wire [7:0] Intra_mbAddrD_window;
97
        wire [15:0] main_seed,seed;
98
        wire [11:0] plane_b_reg,plane_c_reg;
99
 
100
        Intra_pred_pipeline Intra_pred_pipeline (
101
                .clk(clk),
102
                .reset_n(reset_n),
103
                .mb_type_general(mb_type_general),
104
                .blk4x4_rec_counter(blk4x4_rec_counter),
105
                .trigger_blk4x4_intra_pred(trigger_blk4x4_intra_pred),
106
                .mb_num_v(mb_num_v),
107
                .mb_num_h(mb_num_h),
108
                .blk4x4_sum_counter(blk4x4_sum_counter),
109
                .NextMB_IsSkip(NextMB_IsSkip),
110
                .Intra16x16_predmode(Intra16x16_predmode),
111
                .Intra4x4_predmode_CurrMb(Intra4x4_predmode_CurrMb),
112
                .Intra_chroma_predmode(Intra_chroma_predmode),
113
                .Intra_mbAddrA_reg0(Intra_mbAddrA_reg0),
114
                .Intra_mbAddrA_reg1(Intra_mbAddrA_reg1),
115
                .Intra_mbAddrA_reg2(Intra_mbAddrA_reg2),
116
                .Intra_mbAddrA_reg3(Intra_mbAddrA_reg3),
117
                .Intra_mbAddrA_reg4(Intra_mbAddrA_reg4),
118
                .Intra_mbAddrA_reg5(Intra_mbAddrA_reg5),
119
                .Intra_mbAddrA_reg6(Intra_mbAddrA_reg6),
120
                .Intra_mbAddrA_reg7(Intra_mbAddrA_reg7),
121
                .Intra_mbAddrA_reg8(Intra_mbAddrA_reg8),
122
                .Intra_mbAddrA_reg9(Intra_mbAddrA_reg9),
123
                .Intra_mbAddrA_reg10(Intra_mbAddrA_reg10),
124
                .Intra_mbAddrA_reg11(Intra_mbAddrA_reg11),
125
                .Intra_mbAddrA_reg12(Intra_mbAddrA_reg12),
126
                .Intra_mbAddrA_reg13(Intra_mbAddrA_reg13),
127
                .Intra_mbAddrA_reg14(Intra_mbAddrA_reg14),
128
                .Intra_mbAddrA_reg15(Intra_mbAddrA_reg15),
129
                .Intra_mbAddrB_reg0(Intra_mbAddrB_reg0),
130
                .Intra_mbAddrB_reg1(Intra_mbAddrB_reg1),
131
                .Intra_mbAddrB_reg2(Intra_mbAddrB_reg2),
132
                .Intra_mbAddrB_reg3(Intra_mbAddrB_reg3),
133
                .Intra_mbAddrB_reg4(Intra_mbAddrB_reg4),
134
                .Intra_mbAddrB_reg5(Intra_mbAddrB_reg5),
135
                .Intra_mbAddrB_reg6(Intra_mbAddrB_reg6),
136
                .Intra_mbAddrB_reg7(Intra_mbAddrB_reg7),
137
                .Intra_mbAddrB_reg8(Intra_mbAddrB_reg8),
138
                .Intra_mbAddrB_reg9(Intra_mbAddrB_reg9),
139
                .Intra_mbAddrB_reg10(Intra_mbAddrB_reg10),
140
                .Intra_mbAddrB_reg11(Intra_mbAddrB_reg11),
141
                .Intra_mbAddrB_reg12(Intra_mbAddrB_reg12),
142
                .Intra_mbAddrB_reg13(Intra_mbAddrB_reg13),
143
                .Intra_mbAddrB_reg14(Intra_mbAddrB_reg14),
144
                .Intra_mbAddrB_reg15(Intra_mbAddrB_reg15),
145
                .Intra_mbAddrD_window(Intra_mbAddrD_window),
146
 
147
                .Intra4x4_predmode(Intra4x4_predmode),
148
                .blk4x4_intra_preload_counter(blk4x4_intra_preload_counter),
149
                .blk4x4_intra_precompute_counter(blk4x4_intra_precompute_counter),
150
                .blk4x4_intra_calculate_counter(blk4x4_intra_calculate_counter),
151
                .end_of_one_blk4x4_intra(end_of_one_blk4x4_intra),
152
                .blkAddrA_availability(blkAddrA_availability),
153
                .blkAddrB_availability(blkAddrB_availability),
154
                .mbAddrA_availability(mbAddrA_availability),
155
                .mbAddrB_availability(mbAddrB_availability),
156
                .mbAddrC_availability(mbAddrC_availability),
157
                .main_seed(main_seed),
158
                .plane_b_reg(plane_b_reg),
159
                .plane_c_reg(plane_c_reg),
160
                .Intra_mbAddrB_RAM_rd(Intra_mbAddrB_RAM_rd),
161
                .Intra_mbAddrB_RAM_rd_addr(Intra_mbAddrB_RAM_rd_addr)
162
                );
163
 
164
        Intra_pred_reg_ctrl Intra_pred_reg_ctrl (
165
                .reset_n(reset_n),
166
                .gclk_intra_mbAddrA_luma(gclk_intra_mbAddrA_luma),
167
                .gclk_intra_mbAddrA_Cb(gclk_intra_mbAddrA_Cb),
168
                .gclk_intra_mbAddrA_Cr(gclk_intra_mbAddrA_Cr),
169
                .gclk_intra_mbAddrB(gclk_intra_mbAddrB),
170
                .gclk_intra_mbAddrC_luma(gclk_intra_mbAddrC_luma),
171
                .gclk_intra_mbAddrD(gclk_intra_mbAddrD),
172
                .gclk_seed(gclk_seed),
173
                .mbAddrA_availability(mbAddrA_availability),
174
                .mbAddrC_availability(mbAddrC_availability),
175
                .blk4x4_rec_counter(blk4x4_rec_counter),
176
                .blk4x4_sum_counter(blk4x4_sum_counter),
177
                .blk4x4_intra_preload_counter(blk4x4_intra_preload_counter),
178
                .blk4x4_intra_precompute_counter(blk4x4_intra_precompute_counter),
179
                .blk4x4_intra_calculate_counter(blk4x4_intra_calculate_counter),
180
                .mb_type_general(mb_type_general),
181
                .Intra4x4_predmode(Intra4x4_predmode),
182
                .Intra16x16_predmode(Intra16x16_predmode),
183
                .Intra_chroma_predmode(Intra_chroma_predmode),
184
                .Intra_mbAddrB_RAM_dout(Intra_mbAddrB_RAM_dout),
185
                .sum_right_column_reg(sum_right_column_reg),
186
                .blk4x4_sum_PE0_out(blk4x4_sum_PE0_out),
187
                .blk4x4_sum_PE1_out(blk4x4_sum_PE1_out),
188
                .blk4x4_sum_PE2_out(blk4x4_sum_PE2_out),
189
                .blk4x4_sum_PE3_out(blk4x4_sum_PE3_out),
190
                .main_seed(main_seed),
191
                .PE0_sum_out(PE0_sum_out),
192
                .PE3_sum_out(PE3_sum_out),
193
 
194
                .Intra_mbAddrA_window0(Intra_mbAddrA_window0),
195
                .Intra_mbAddrA_window1(Intra_mbAddrA_window1),
196
                .Intra_mbAddrA_window2(Intra_mbAddrA_window2),
197
                .Intra_mbAddrA_window3(Intra_mbAddrA_window3),
198
                .Intra_mbAddrA_reg0(Intra_mbAddrA_reg0),
199
                .Intra_mbAddrA_reg1(Intra_mbAddrA_reg1),
200
                .Intra_mbAddrA_reg2(Intra_mbAddrA_reg2),
201
                .Intra_mbAddrA_reg3(Intra_mbAddrA_reg3),
202
                .Intra_mbAddrA_reg4(Intra_mbAddrA_reg4),
203
                .Intra_mbAddrA_reg5(Intra_mbAddrA_reg5),
204
                .Intra_mbAddrA_reg6(Intra_mbAddrA_reg6),
205
                .Intra_mbAddrA_reg7(Intra_mbAddrA_reg7),
206
                .Intra_mbAddrA_reg8(Intra_mbAddrA_reg8),
207
                .Intra_mbAddrA_reg9(Intra_mbAddrA_reg9),
208
                .Intra_mbAddrA_reg10(Intra_mbAddrA_reg10),
209
                .Intra_mbAddrA_reg11(Intra_mbAddrA_reg11),
210
                .Intra_mbAddrA_reg12(Intra_mbAddrA_reg12),
211
                .Intra_mbAddrA_reg13(Intra_mbAddrA_reg13),
212
                .Intra_mbAddrA_reg14(Intra_mbAddrA_reg14),
213
                .Intra_mbAddrA_reg15(Intra_mbAddrA_reg15),
214
                .Intra_mbAddrB_window0(Intra_mbAddrB_window0),
215
                .Intra_mbAddrB_window1(Intra_mbAddrB_window1),
216
                .Intra_mbAddrB_window2(Intra_mbAddrB_window2),
217
                .Intra_mbAddrB_window3(Intra_mbAddrB_window3),
218
                .Intra_mbAddrB_reg0(Intra_mbAddrB_reg0),
219
                .Intra_mbAddrB_reg1(Intra_mbAddrB_reg1),
220
                .Intra_mbAddrB_reg2(Intra_mbAddrB_reg2),
221
                .Intra_mbAddrB_reg3(Intra_mbAddrB_reg3),
222
                .Intra_mbAddrB_reg4(Intra_mbAddrB_reg4),
223
                .Intra_mbAddrB_reg5(Intra_mbAddrB_reg5),
224
                .Intra_mbAddrB_reg6(Intra_mbAddrB_reg6),
225
                .Intra_mbAddrB_reg7(Intra_mbAddrB_reg7),
226
                .Intra_mbAddrB_reg8(Intra_mbAddrB_reg8),
227
                .Intra_mbAddrB_reg9(Intra_mbAddrB_reg9),
228
                .Intra_mbAddrB_reg10(Intra_mbAddrB_reg10),
229
                .Intra_mbAddrB_reg11(Intra_mbAddrB_reg11),
230
                .Intra_mbAddrB_reg12(Intra_mbAddrB_reg12),
231
                .Intra_mbAddrB_reg13(Intra_mbAddrB_reg13),
232
                .Intra_mbAddrB_reg14(Intra_mbAddrB_reg14),
233
                .Intra_mbAddrB_reg15(Intra_mbAddrB_reg15),
234
                .Intra_mbAddrC_window0(Intra_mbAddrC_window0),
235
                .Intra_mbAddrC_window1(Intra_mbAddrC_window1),
236
                .Intra_mbAddrC_window2(Intra_mbAddrC_window2),
237
                .Intra_mbAddrC_window3(Intra_mbAddrC_window3),
238
                .Intra_mbAddrD_window(Intra_mbAddrD_window),
239
                .seed(seed)
240
                );
241
 
242
        Intra_pred_PE Intra_pred_PE (
243
                .clk(clk),
244
                .reset_n(reset_n),
245
                .mb_type_general(mb_type_general),
246
                .blk4x4_rec_counter(blk4x4_rec_counter),
247
                .blk4x4_intra_calculate_counter(blk4x4_intra_calculate_counter),
248
                .Intra4x4_predmode(Intra4x4_predmode),
249
                .Intra16x16_predmode(Intra16x16_predmode),
250
                .Intra_chroma_predmode(Intra_chroma_predmode),
251
                .blkAddrA_availability(blkAddrA_availability),
252
                .blkAddrB_availability(blkAddrB_availability),
253
                .mbAddrA_availability(mbAddrA_availability),
254
                .mbAddrB_availability(mbAddrB_availability),
255
                .Intra_mbAddrA_window0({8'b0,Intra_mbAddrA_window0}),
256
                .Intra_mbAddrA_window1({8'b0,Intra_mbAddrA_window1}),
257
                .Intra_mbAddrA_window2({8'b0,Intra_mbAddrA_window2}),
258
                .Intra_mbAddrA_window3({8'b0,Intra_mbAddrA_window3}),
259
                .Intra_mbAddrB_window0({8'b0,Intra_mbAddrB_window0}),
260
                .Intra_mbAddrB_window1({8'b0,Intra_mbAddrB_window1}),
261
                .Intra_mbAddrB_window2({8'b0,Intra_mbAddrB_window2}),
262
                .Intra_mbAddrB_window3({8'b0,Intra_mbAddrB_window3}),
263
                .Intra_mbAddrC_window0({8'b0,Intra_mbAddrC_window0}),
264
                .Intra_mbAddrC_window1({8'b0,Intra_mbAddrC_window1}),
265
                .Intra_mbAddrC_window2({8'b0,Intra_mbAddrC_window2}),
266
                .Intra_mbAddrC_window3({8'b0,Intra_mbAddrC_window3}),
267
                .Intra_mbAddrD_window({8'b0,Intra_mbAddrD_window}),
268
                .Intra_mbAddrA_reg0({8'b0,Intra_mbAddrA_reg0}),
269
                .Intra_mbAddrA_reg1({8'b0,Intra_mbAddrA_reg1}),
270
                .Intra_mbAddrA_reg2({8'b0,Intra_mbAddrA_reg2}),
271
                .Intra_mbAddrA_reg3({8'b0,Intra_mbAddrA_reg3}),
272
                .Intra_mbAddrA_reg4({8'b0,Intra_mbAddrA_reg4}),
273
                .Intra_mbAddrA_reg5({8'b0,Intra_mbAddrA_reg5}),
274
                .Intra_mbAddrA_reg6({8'b0,Intra_mbAddrA_reg6}),
275
                .Intra_mbAddrA_reg7({8'b0,Intra_mbAddrA_reg7}),
276
                .Intra_mbAddrA_reg8({8'b0,Intra_mbAddrA_reg8}),
277
                .Intra_mbAddrA_reg9({8'b0,Intra_mbAddrA_reg9}),
278
                .Intra_mbAddrA_reg10({8'b0,Intra_mbAddrA_reg10}),
279
                .Intra_mbAddrA_reg11({8'b0,Intra_mbAddrA_reg11}),
280
                .Intra_mbAddrA_reg12({8'b0,Intra_mbAddrA_reg12}),
281
                .Intra_mbAddrA_reg13({8'b0,Intra_mbAddrA_reg13}),
282
                .Intra_mbAddrA_reg14({8'b0,Intra_mbAddrA_reg14}),
283
                .Intra_mbAddrA_reg15({8'b0,Intra_mbAddrA_reg15}),
284
                .Intra_mbAddrB_reg0({8'b0,Intra_mbAddrB_reg0}),
285
                .Intra_mbAddrB_reg1({8'b0,Intra_mbAddrB_reg1}),
286
                .Intra_mbAddrB_reg2({8'b0,Intra_mbAddrB_reg2}),
287
                .Intra_mbAddrB_reg3({8'b0,Intra_mbAddrB_reg3}),
288
                .Intra_mbAddrB_reg4({8'b0,Intra_mbAddrB_reg4}),
289
                .Intra_mbAddrB_reg5({8'b0,Intra_mbAddrB_reg5}),
290
                .Intra_mbAddrB_reg6({8'b0,Intra_mbAddrB_reg6}),
291
                .Intra_mbAddrB_reg7({8'b0,Intra_mbAddrB_reg7}),
292
                .Intra_mbAddrB_reg8({8'b0,Intra_mbAddrB_reg8}),
293
                .Intra_mbAddrB_reg9({8'b0,Intra_mbAddrB_reg9}),
294
                .Intra_mbAddrB_reg10({8'b0,Intra_mbAddrB_reg10}),
295
                .Intra_mbAddrB_reg11({8'b0,Intra_mbAddrB_reg11}),
296
                .Intra_mbAddrB_reg12({8'b0,Intra_mbAddrB_reg12}),
297
                .Intra_mbAddrB_reg13({8'b0,Intra_mbAddrB_reg13}),
298
                .Intra_mbAddrB_reg14({8'b0,Intra_mbAddrB_reg14}),
299
                .Intra_mbAddrB_reg15({8'b0,Intra_mbAddrB_reg15}),
300
                .blk4x4_pred_output0({8'b0,blk4x4_pred_output0}),
301
                .blk4x4_pred_output1({8'b0,blk4x4_pred_output1}),
302
                .blk4x4_pred_output2({8'b0,blk4x4_pred_output2}),
303
                .blk4x4_pred_output4({8'b0,blk4x4_pred_output4}),
304
                .blk4x4_pred_output5({8'b0,blk4x4_pred_output5}),
305
                .blk4x4_pred_output6({8'b0,blk4x4_pred_output6}),
306
                .blk4x4_pred_output8({8'b0,blk4x4_pred_output8}),
307
                .blk4x4_pred_output9({8'b0,blk4x4_pred_output9}),
308
                .blk4x4_pred_output10({8'b0,blk4x4_pred_output10}),
309
                .blk4x4_pred_output12({8'b0,blk4x4_pred_output12}),
310
                .blk4x4_pred_output13({8'b0,blk4x4_pred_output13}),
311
                .blk4x4_pred_output14({8'b0,blk4x4_pred_output14}),
312
                .seed(seed),
313
                .b(plane_b_reg),
314
                .c(plane_c_reg),
315
 
316
                .PE0_out(PE0_out),
317
                .PE1_out(PE1_out),
318
                .PE2_out(PE2_out),
319
                .PE3_out(PE3_out),
320
                .PE0_sum_out(PE0_sum_out),
321
                .PE3_sum_out(PE3_sum_out)
322
                );
323
        ram_sync_1r_sync_1w #(`Intra_mbAddrB_RAM_data_width,`Intra_mbAddrB_RAM_data_depth)
324
        Intra_mbAddrB_RAM (
325
                .clk(gclk_Intra_mbAddrB_RAM),
326
                .rst_n(reset_n),
327
                .wr_n(~Intra_mbAddrB_RAM_wr),
328
                .rd_n(~Intra_mbAddrB_RAM_rd),
329
                .wr_addr(Intra_mbAddrB_RAM_wr_addr),
330
                .rd_addr(Intra_mbAddrB_RAM_rd_addr),
331
                .data_in(Intra_mbAddrB_RAM_din),
332
                .data_out(Intra_mbAddrB_RAM_dout)
333
                );
334
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.