OpenCores
URL https://opencores.org/ocsvn/nova/nova/trunk

Subversion Repositories nova

[/] [nova/] [trunk/] [src/] [exp_golomb_decoding.v] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eexuke
//--------------------------------------------------------------------------------------------------
2
// Design    : nova
3
// Author(s) : Ke Xu
4
// Email           : eexuke@yahoo.com
5
// File      : exp_golomb_decoding.v
6
// Generated : June 6, 2005
7
// Copyright (C) 2008 Ke Xu                
8
//-------------------------------------------------------------------------------------------------
9
// Description 
10
// Exp-Golomb code decoding
11
//-------------------------------------------------------------------------------------------------
12
 
13
// synopsys translate_off
14
`include "timescale.v"
15
// synopsys translate_on
16
`include "nova_defines.v"
17
 
18
module exp_golomb_decoding (reset_n,heading_one_pos,BitStream_buffer_output,num_ref_idx_l0_active_minus1,
19
        slice_header_state,slice_data_state,mb_pred_state,sub_mb_pred_state,
20
        seq_parameter_set_state,pic_parameter_set_state,exp_golomb_decoding_output,exp_golomb_len);
21
        input reset_n;
22
        input [3:0] heading_one_pos;
23
        input [15:0] BitStream_buffer_output;
24
        input [2:0] num_ref_idx_l0_active_minus1;
25
        input [3:0] slice_header_state;
26
        input [3:0] slice_data_state;
27
        input [2:0] mb_pred_state;
28
        input [1:0] sub_mb_pred_state;
29
        input [3:0] seq_parameter_set_state;
30
        input [3:0] pic_parameter_set_state;
31
        output [7:0] exp_golomb_decoding_output;
32
        output [3:0] exp_golomb_len;
33
 
34
        reg [7:0] exp_golomb_decoding_output;
35
        reg [3:0] exp_golomb_len;
36
 
37
        parameter rst_exp_golomb_sel = 2'b00;
38
        parameter ue = 2'b01;
39
        parameter se = 2'b10;
40
        parameter te = 2'b11;
41
 
42
        reg [7:0] codeNum;
43
        reg [1:0] exp_golomb_sel;
44
 
45
        always @ (exp_golomb_sel or heading_one_pos or BitStream_buffer_output)
46
                if (exp_golomb_sel != rst_exp_golomb_sel)
47
                        case (heading_one_pos)
48
                                0:codeNum <= 0;
49
                                1:codeNum <= {6'b0,BitStream_buffer_output[14:13]} - 1;
50
                                2:codeNum <= {5'b0,BitStream_buffer_output[13:11]} - 1;
51
                                3:codeNum <= {4'b0,BitStream_buffer_output[12:9]}  - 1;
52
                                4:codeNum <= {3'b0,BitStream_buffer_output[11:7]}  - 1;
53
                                5:codeNum <= {2'b0,BitStream_buffer_output[10:5]}  - 1;
54
                                6:codeNum <= {1'b0,BitStream_buffer_output[9:3]}   - 1;
55
                                7:codeNum <= BitStream_buffer_output[8:1]          - 1;
56
                                default:codeNum <= 0;
57
                        endcase
58
                else
59
                        codeNum <= 0;
60
 
61
        wire [2:0] te_range;
62
        assign te_range = num_ref_idx_l0_active_minus1 + 1;
63
        always @ (exp_golomb_sel or heading_one_pos or te_range)
64
                case (exp_golomb_sel)
65
                        ue,se:exp_golomb_len    <= (heading_one_pos << 1) + 1;
66
                        te       :exp_golomb_len        <= (te_range == 2)? 1:((heading_one_pos << 1) + 1);
67
                        default:exp_golomb_len  <= 0;
68
                endcase
69
 
70
        wire [7:0] codeNum_se_tmp;
71
        assign codeNum_se_tmp = codeNum >> 1;
72
        always @ (exp_golomb_sel or codeNum or codeNum_se_tmp or te_range)
73
                case (exp_golomb_sel)
74
                        ue:exp_golomb_decoding_output <= codeNum;
75
                        se:
76
                        case (codeNum[0])
77
                                1:exp_golomb_decoding_output <= (codeNum + 1) >> 1;
78
                                0:exp_golomb_decoding_output <= ~codeNum_se_tmp + 1;
79
                        endcase
80
                        te:
81
                        if (te_range == 2)      exp_golomb_decoding_output <= (codeNum == 0)? 8'd0:8'd1;
82
                        else                            exp_golomb_decoding_output <= codeNum;
83
                        default:exp_golomb_decoding_output <= 0;
84
                endcase
85
 
86
        always @ (reset_n or slice_header_state or slice_data_state or mb_pred_state or sub_mb_pred_state or
87
                seq_parameter_set_state or pic_parameter_set_state)
88
                if (reset_n == 0)
89
                        exp_golomb_sel <= rst_exp_golomb_sel;
90
                else if (slice_header_state != `rst_slice_header)
91
                        case (slice_header_state)
92
                                `first_mb_in_slice_s                                          :exp_golomb_sel   <= ue;
93
                                `slice_type_s                                                       :exp_golomb_sel     <= ue;
94
                                `pic_parameter_set_id_slice_header_s:exp_golomb_sel <= ue;
95
                                `idr_pic_id_s                                                       :exp_golomb_sel     <= ue;
96
                                `slice_qp_delta_s                                                 :exp_golomb_sel       <= se;
97
                                `disable_deblocking_filter_idc_s                :exp_golomb_sel <= ue;
98
                                `slice_alpha_c0_offset_div2_s               :exp_golomb_sel <= se;
99
                                `slice_beta_offset_div2_s                             :exp_golomb_sel   <= ue;
100
                                default                                                                       :exp_golomb_sel   <= rst_exp_golomb_sel;
101
                        endcase
102
                else if (slice_data_state != `rst_slice_data)
103
                        case (slice_data_state)
104
                                `mb_skip_run_s     :exp_golomb_sel      <= ue;
105
                                `mb_type_s                   :exp_golomb_sel    <= ue;
106
                                `sub_mb_pred:
107
                                case (sub_mb_pred_state)
108
                                        `sub_mb_type_s   :exp_golomb_sel        <= ue;
109
                                        `sub_ref_idx_l0_s:exp_golomb_sel        <= te;
110
                                        `sub_mvd_l0_s            :exp_golomb_sel        <= se;
111
                                        default                                  :exp_golomb_sel        <= rst_exp_golomb_sel;
112
                                endcase
113
                                `mb_pred:
114
                                case (mb_pred_state)
115
                                        `intra_chroma_pred_mode_s:exp_golomb_sel <= ue;
116
                                        `ref_idx_l0_s            :exp_golomb_sel <= te;
117
                                        `mvd_l0_s                :exp_golomb_sel <= se;
118
                                        default                                          :exp_golomb_sel <= rst_exp_golomb_sel;
119
                                endcase
120
                                `coded_block_pattern_s           :exp_golomb_sel <= ue;
121
                                `mb_qp_delta_s                               :exp_golomb_sel <= se;
122
                                default                                                  :exp_golomb_sel <= rst_exp_golomb_sel;
123
                        endcase
124
                else if (seq_parameter_set_state != `rst_seq_parameter_set)
125
                        case (seq_parameter_set_state)
126
                                `seq_parameter_set_id_sps_s                         :exp_golomb_sel     <= ue;
127
                                `log2_max_frame_num_minus4_s        :exp_golomb_sel     <= ue;
128
                                `pic_order_cnt_type_s               :exp_golomb_sel     <= ue;
129
                                `log2_max_pic_order_cnt_lsb_minus4_s:exp_golomb_sel     <= ue;
130
                                `num_ref_frames_s                                                 :exp_golomb_sel       <= ue;
131
                                `pic_width_in_mbs_minus1_s          :exp_golomb_sel     <= ue;
132
                                `pic_height_in_map_units_minus1_s   :exp_golomb_sel     <= ue;
133
                                default                                                                       :exp_golomb_sel   <= rst_exp_golomb_sel;
134
                        endcase
135
                else if (pic_parameter_set_state != `rst_pic_parameter_set)
136
                        case (pic_parameter_set_state)
137
                                `pic_parameter_set_id_pps_s                        :exp_golomb_sel      <= ue;
138
                                `seq_parameter_set_id_pps_s                        :exp_golomb_sel      <= ue;
139
                                `num_slice_groups_minus1_s                         :exp_golomb_sel      <= ue;
140
                                `num_ref_idx_l0_active_minus1_pps_s:exp_golomb_sel      <= ue;
141
                                `num_ref_idx_l1_active_minus1_pps_s:exp_golomb_sel      <= ue;
142
                                `pic_init_qp_minus26_s                               :exp_golomb_sel    <= se;
143
                                `pic_init_qs_minus26_s                               :exp_golomb_sel    <= se;
144
                                `chroma_qp_index_offset_s                            :exp_golomb_sel    <= se;
145
                                default                                                                      :exp_golomb_sel    <= rst_exp_golomb_sel;
146
                        endcase
147
                else
148
                        exp_golomb_sel  <= rst_exp_golomb_sel;
149
 
150
endmodule
151
 
152
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.