OpenCores
URL https://opencores.org/ocsvn/nova/nova/trunk

Subversion Repositories nova

[/] [nova/] [trunk/] [src/] [nova_tb.v] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eexuke
//--------------------------------------------------------------------------------------------------
2
// Design    : nova
3
// Author(s) : Ke Xu
4
// Email           : eexuke@yahoo.com
5
// File      : nova_tb.v
6
// Generated : March 13,2006
7
// Copyright (C) 2008 Ke Xu                
8
//-------------------------------------------------------------------------------------------------
9
// Description 
10
// Testbench for nova
11
//-------------------------------------------------------------------------------------------------
12
 
13
// synopsys translate_off
14
`include "timescale.v"
15
// synopsys translate_on
16
`include "nova_defines.v"
17
 
18
module nova_tb;
19
 
20
        reg clk;
21
        reg reset_n;
22
        reg pin_disable_DF;
23
        reg freq_ctrl0;
24
        reg freq_ctrl1;
25
 
26
        wire BitStream_ram_ren;
27
        wire [16:0] BitStream_ram_addr;
28
        wire [15:0] BitStream_buffer_input;
29
        wire [5:0] pic_num;
30
        wire [6:0] mb_num;
31
 
32
        wire [13:0] ext_frame_RAM0_addr;
33
        wire [31:0] ext_frame_RAM0_data;
34
        wire [13:0] ext_frame_RAM1_addr;
35
        wire [31:0] ext_frame_RAM1_data;
36
        wire [31:0] dis_frame_RAM_din;
37
 
38
        wire [15:0] temp;
39
        assign temp = dis_frame_RAM_din[15:0];
40
 
41
        //for debug only
42
        wire slice_header_s6;
43
 
44
        Beha_BitStream_ram Beha_BitStream_ram (
45
                .clk(clk),
46
                .BitStream_ram_ren(BitStream_ram_ren),
47
                .BitStream_ram_addr(BitStream_ram_addr),
48
                .BitStream_ram_data(BitStream_buffer_input)
49
                );
50
        ext_frame_RAM0_wrapper ext_frame_RAM0_wrapper (
51
                .clk(clk),
52
                .reset_n(reset_n),
53
                .ext_frame_RAM0_cs_n(ext_frame_RAM0_cs_n),
54
                .ext_frame_RAM0_wr(ext_frame_RAM0_wr),
55
                .ext_frame_RAM0_addr(ext_frame_RAM0_addr),
56
                .dis_frame_RAM_din(dis_frame_RAM_din),
57
                .ext_frame_RAM0_data(ext_frame_RAM0_data),
58
                .pic_num(pic_num),
59
                .slice_header_s6(slice_header_s6)
60
                );
61
        ext_frame_RAM1_wrapper ext_frame_RAM1_wrapper (
62
                .clk(clk),
63
                .reset_n(reset_n),
64
                .ext_frame_RAM1_cs_n(ext_frame_RAM1_cs_n),
65
                .ext_frame_RAM1_wr(ext_frame_RAM1_wr),
66
                .ext_frame_RAM1_addr(ext_frame_RAM1_addr),
67
                .dis_frame_RAM_din(dis_frame_RAM_din),
68
                .ext_frame_RAM1_data(ext_frame_RAM1_data),
69
                .pic_num(pic_num),
70
                .slice_header_s6(slice_header_s6)
71
                );
72
        nova nova (
73
                .clk(clk),
74
                .reset_n(reset_n),
75
                .freq_ctrl0(freq_ctrl0),
76
                .freq_ctrl1(freq_ctrl1),
77
                .BitStream_buffer_input(BitStream_buffer_input),
78
                .BitStream_ram_ren(BitStream_ram_ren),
79
                .BitStream_ram_addr(BitStream_ram_addr),
80
                .pic_num(pic_num),
81
                .pin_disable_DF(pin_disable_DF),
82
                .ext_frame_RAM0_cs_n(ext_frame_RAM0_cs_n),
83
                .ext_frame_RAM0_wr(ext_frame_RAM0_wr),
84
                .ext_frame_RAM0_addr(ext_frame_RAM0_addr),
85
                .ext_frame_RAM0_data(ext_frame_RAM0_data),
86
                .ext_frame_RAM1_cs_n(ext_frame_RAM1_cs_n),
87
                .ext_frame_RAM1_wr(ext_frame_RAM1_wr),
88
                .ext_frame_RAM1_addr(ext_frame_RAM1_addr),
89
                .ext_frame_RAM1_data(ext_frame_RAM1_data),
90
                .dis_frame_RAM_din(dis_frame_RAM_din),
91
                .slice_header_s6(slice_header_s6)
92
        );
93
 
94
        initial
95
                begin
96
                        clk = 1'b1;
97
                        reset_n = 1'b1;
98
                        pin_disable_DF = 1'b0;
99
                        freq_ctrl0 = 1'b0;
100
                        freq_ctrl1 = 1'b1;
101
                        #1100 reset_n = 1'b0;
102
                        #1000 reset_n = 1'b1;
103
                end
104
 
105
        always
106
                #340 clk = ~clk;
107
 
108
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.