OpenCores
URL https://opencores.org/ocsvn/nova/nova/trunk

Subversion Repositories nova

[/] [nova/] [trunk/] [test/] [readme.txt] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 eexuke
bin2hex.pl :convert binary .264 into text format.
2
 
3
hex2bin.cpp:convert text format to binary .264.
4
 
5
bitstream folder:encoded bitstream .264 should be converted first by bin2hex.pl while .txt can be directly read into verilog.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.