OpenCores
URL https://opencores.org/ocsvn/oops/oops/trunk

Subversion Repositories oops

[/] [oops/] [trunk/] [rtl/] [psel.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 smjoshua
// Generic priority selector module
2
module psel (req, gnt);
3
  //synopsys template
4
  parameter WIDTH=8;
5
  input wire  [WIDTH-1:0] req;
6
  output wire [WIDTH-1:0] gnt;
7
 
8
  //priority selector
9
  genvar i;
10
  generate
11
    for(i = WIDTH-1; i>0; i=i-1)
12
    begin: sel
13
        assign gnt[i] = req[i] & ~(|req[i-1:0]);
14
    end
15
 
16
    assign gnt[0] = req[0];
17
  endgenerate
18
 
19
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.