OpenCores
URL https://opencores.org/ocsvn/opb_usblite/opb_usblite/trunk

Subversion Repositories opb_usblite

[/] [opb_usblite/] [trunk/] [doc/] [readme.txt] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rehnmaak
opb_usblite - opb_uartlite replacement for Xilinx Microblaze processor written
2
in VHDL and Verilog. The opb_usblite is compatible with the USB CDC profile and
3
works with microsoft usbser virtual comport driver (VCD).
4
 
5
opb_usblite is using components from Rudolf Usselmann see
6
http://www.opencores.org/cores/usb_phy/ and Joris van Rantwijk see
7
http://www.xs4all.nl/~rjoris/fpga/usb.html

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.