OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [Documents/] [CPU Instruction Set_files/] [sheet001.htm] - Blame information for rev 312

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 312 jshamlet
<html xmlns:o="urn:schemas-microsoft-com:office:office"
2 241 jshamlet
xmlns:x="urn:schemas-microsoft-com:office:excel"
3
xmlns="http://www.w3.org/TR/REC-html40">
4
 
5
<head>
6
<meta http-equiv=Content-Type content="text/html; charset=windows-1252">
7
<meta name=ProgId content=Excel.Sheet>
8
<meta name=Generator content="Microsoft Excel 12">
9
<link id=Main-File rel=Main-File href="../CPU%20Instruction%20Set.htm">
10
<link rel=File-List href=filelist.xml>
11
<link rel=Stylesheet href=stylesheet.css>
12
<style>
13
<!--table
14
        {mso-displayed-decimal-separator:"\.";
15
        mso-displayed-thousand-separator:"\,";}
16
@page
17
        {margin:.75in .7in .75in .7in;
18
        mso-header-margin:.3in;
19
        mso-footer-margin:.3in;}
20
-->
21
</style>
22
<![if !supportTabStrip]><script language="JavaScript">
23
<!--
24
function fnUpdateTabs()
25
 {
26
  if (parent.window.g_iIEVer>=4) {
27
   if (parent.document.readyState=="complete"
28
    && parent.frames['frTabs'].document.readyState=="complete")
29
   parent.fnSetActiveSheet(0);
30
  else
31
   window.setTimeout("fnUpdateTabs();",150);
32
 }
33
}
34
 
35
if (window.name!="frSheet")
36
 window.location.replace("../CPU%20Instruction%20Set.htm");
37
else
38
 fnUpdateTabs();
39
//-->
40
</script>
41
<![endif]>
42
</head>
43
 
44
<body link=blue vlink=purple>
45
 
46
<table border=0 cellpadding=0 cellspacing=0 width=1180 style='border-collapse:
47
 collapse;table-layout:fixed;width:887pt'>
48
 <col width=86 style='mso-width-source:userset;mso-width-alt:3145;width:65pt'>
49
 <col class=xl67 width=74 style='mso-width-source:userset;mso-width-alt:2706;
50
 width:56pt'>
51
 <col class=xl67 width=61 style='mso-width-source:userset;mso-width-alt:2230;
52
 width:46pt'>
53
 <col class=xl66 width=64 style='width:48pt'>
54
 <col class=xl68 width=670 style='mso-width-source:userset;mso-width-alt:24502;
55
 width:503pt'>
56
 <col width=64 style='width:48pt'>
57
 <col width=97 style='mso-width-source:userset;mso-width-alt:3547;width:73pt'>
58
 <col class=xl65 width=64 style='width:48pt'>
59 272 jshamlet
 <tr height=35 style='height:26.25pt'>
60
  <td height=35 width=86 style='height:26.25pt;width:65pt'></td>
61 241 jshamlet
  <td class=xl67 width=74 style='width:56pt'></td>
62
  <td class=xl67 width=61 style='width:46pt'></td>
63 272 jshamlet
  <td class=xl106 colspan=2 width=734 style='mso-ignore:colspan;width:551pt'>Open8
64 241 jshamlet
  Instruction Set</td>
65
  <td width=64 style='width:48pt'></td>
66
  <td width=97 style='width:73pt'></td>
67
  <td class=xl65 width=64 style='width:48pt'></td>
68
 </tr>
69
 <tr height=20 style='height:15.0pt'>
70
  <td height=20 style='height:15.0pt'></td>
71
  <td colspan=2 class=xl67 style='mso-ignore:colspan'></td>
72
  <td class=xl66></td>
73
  <td class=xl68></td>
74
  <td colspan=2 style='mso-ignore:colspan'></td>
75
  <td class=xl65></td>
76
 </tr>
77
 <tr height=20 style='height:15.0pt'>
78
  <td height=20 class=xl69 style='height:15.0pt'>Instruction</td>
79
  <td class=xl70>Opcode</td>
80
  <td class=xl70>Operand</td>
81
  <td class=xl71>Flags</td>
82
  <td class=xl72 width=670 style='width:503pt'>Description</td>
83
  <td></td>
84
  <td class=xl105>Legend</td>
85
  <td class=xl65></td>
86
 </tr>
87
 <tr height=20 style='height:15.0pt'>
88
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>INC R0-07</td>
89
  <td class=xl77 style='border-top:none;border-left:none'>00000</td>
90
  <td class=xl77 style='border-top:none;border-left:none'>xxx</td>
91
  <td class=xl77 style='border-top:none;border-left:none'>N,C,Z</td>
92
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Increment
93
  Register (Rn + 1 -&gt; Rn)</td>
94
  <td></td>
95
  <td class=xl76>ALU/MATH</td>
96
  <td class=xl65></td>
97
 </tr>
98
 <tr height=20 style='height:15.0pt'>
99
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>ADC R0-07</td>
100
  <td class=xl77 style='border-top:none;border-left:none'>00001</td>
101
  <td class=xl77 style='border-top:none;border-left:none'>xxx</td>
102
  <td class=xl77 style='border-top:none;border-left:none'>N,C,Z</td>
103
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Add
104
  with Carry (Rn + R0 + C -&gt; R0)</td>
105
  <td></td>
106
  <td class=xl79 style='border-top:none'>LOAD/STORE</td>
107
  <td class=xl65></td>
108
 </tr>
109
 <tr height=20 style='height:15.0pt'>
110
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>TX0 R0-R7</td>
111
  <td class=xl77 style='border-top:none;border-left:none'>00010</td>
112
  <td class=xl77 style='border-top:none;border-left:none'>xxx</td>
113
  <td class=xl77 style='border-top:none;border-left:none'>N,Z</td>
114
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Transfer
115
  Rn to R0 (Rn -&gt; R0)</td>
116
  <td></td>
117
  <td class=xl82 style='border-top:none'>BRANCH</td>
118
  <td class=xl65></td>
119
 </tr>
120
 <tr height=20 style='height:15.0pt'>
121
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>OR<span
122
  style='mso-spacerun:yes'>  </span>R0-R7</td>
123
  <td class=xl77 style='border-top:none;border-left:none'>00011</td>
124
  <td class=xl77 style='border-top:none;border-left:none'>xxx</td>
125
  <td class=xl77 style='border-top:none;border-left:none'>N,Z</td>
126
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Logical
127
  OR of Rn and R0 (Rn | R0 -&gt; R0)</td>
128
  <td></td>
129
  <td class=xl94 style='border-top:none'>STACK/FLOW</td>
130
  <td class=xl65></td>
131
 </tr>
132
 <tr height=20 style='height:15.0pt'>
133
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>AND R0-R7</td>
134
  <td class=xl77 style='border-top:none;border-left:none'>00100</td>
135
  <td class=xl77 style='border-top:none;border-left:none'>xxx</td>
136
  <td class=xl77 style='border-top:none;border-left:none'>N,Z</td>
137
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Logical
138
  AND of Rn and R0 (Rn &amp; R0 -&gt; R0)</td>
139
  <td></td>
140
  <td class=xl104 style='border-top:none'>SOFT INTS</td>
141
  <td class=xl65></td>
142
 </tr>
143
 <tr height=20 style='height:15.0pt'>
144
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>CLR R0</td>
145
  <td class=xl77 style='border-top:none;border-left:none'>00101</td>
146
  <td class=xl77 style='border-top:none;border-left:none'>000</td>
147
  <td class=xl77 style='border-top:none;border-left:none'>N,Z</td>
148
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Special
149
  case of XOR (R0^R0 -&gt; R0)</td>
150
  <td colspan=2 style='mso-ignore:colspan'></td>
151
  <td class=xl65></td>
152
 </tr>
153
 <tr height=20 style='height:15.0pt'>
154
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>XOR R0-R7</td>
155
  <td class=xl77 style='border-top:none;border-left:none'>00101</td>
156
  <td class=xl77 style='border-top:none;border-left:none'>xxx</td>
157
  <td class=xl77 style='border-top:none;border-left:none'>N,Z</td>
158
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Logical
159
  XOR or Rn and R0 (Rn ^ R0 -&gt; R0)</td>
160
  <td colspan=2 style='mso-ignore:colspan'></td>
161
  <td class=xl65></td>
162
 </tr>
163 311 jshamlet
 <tr height=60 style='height:45.0pt'>
164
  <td height=60 class=xl88 style='height:45.0pt;border-top:none'>ROL R0-R7</td>
165
  <td class=xl89 style='border-top:none;border-left:none'>00110</td>
166
  <td class=xl89 style='border-top:none;border-left:none'>xxx</td>
167
  <td class=xl89 style='border-top:none;border-left:none'>N,Z,(C*)</td>
168
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Rotate
169
  Rn Left - Exact behavior is configurable through the generic
170
  &quot;Rotate_Ignores_Carry&quot; By default, if FALSE, the carry is included
171
  in the rotation:<span style='mso-spacerun:yes'>   </span>Rn(6:0) &amp; C
172
  -&gt; Rn ; Rn(7) -&gt; C. If the generic is set TRUE, the carry bit is both
173
  excluded from the rotation as well as not altered: Rn(6:0)&amp;Rn(7) -&gt; C.</td>
174 241 jshamlet
  <td colspan=2 style='mso-ignore:colspan'></td>
175
  <td class=xl65></td>
176
 </tr>
177 311 jshamlet
 <tr height=60 style='height:45.0pt'>
178
  <td height=60 class=xl88 style='height:45.0pt;border-top:none'>ROR R0-R7</td>
179
  <td class=xl89 style='border-top:none;border-left:none'>00111</td>
180
  <td class=xl89 style='border-top:none;border-left:none'>xxx</td>
181
  <td class=xl89 style='border-top:none;border-left:none'>N,Z,(C*)</td>
182
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Rotate
183
  Rn Right - Exact behavior is configurable through the generic
184
  &quot;Rotate_Ignores_Carry&quot; By default, if FALSE, the carry is included
185
  in the rotation: C &amp; Rn(7:1) -&gt; Rn ; Rn(0) -&gt; C. If the generic is
186
  set TRUE, the carry bit is both excluded from the rotation as well as not
187
  altered: Rn(0)&amp;Rn(7:1)-&gt; Rn.</td>
188 241 jshamlet
  <td colspan=2 style='mso-ignore:colspan'></td>
189
  <td class=xl65></td>
190
 </tr>
191
 <tr height=20 style='height:15.0pt'>
192
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>DEC R0-R7</td>
193
  <td class=xl77 style='border-top:none;border-left:none'>01000</td>
194
  <td class=xl77 style='border-top:none;border-left:none'>xxx</td>
195
  <td class=xl77 style='border-top:none;border-left:none'>N,C,Z</td>
196
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Decrement
197
  Rn (Rn - 1 -&gt; Rn)</td>
198
  <td colspan=2 style='mso-ignore:colspan'></td>
199
  <td class=xl65></td>
200
 </tr>
201
 <tr height=20 style='height:15.0pt'>
202
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>SBC R0-R7</td>
203
  <td class=xl77 style='border-top:none;border-left:none'>01001</td>
204
  <td class=xl77 style='border-top:none;border-left:none'>xxx</td>
205
  <td class=xl77 style='border-top:none;border-left:none'>N,C,Z</td>
206
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Subtract
207
  Rn from R0 with carry (R0 - Rn - C -&gt; R0)</td>
208
  <td colspan=2 style='mso-ignore:colspan'></td>
209
  <td class=xl65></td>
210
 </tr>
211
 <tr height=20 style='height:15.0pt'>
212
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>ADD R0-R7</td>
213
  <td class=xl77 style='border-top:none;border-left:none'>01010</td>
214
  <td class=xl77 style='border-top:none;border-left:none'>xxx</td>
215
  <td class=xl77 style='border-top:none;border-left:none'>N,C,Z</td>
216
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Add
217
  Rn and R0 (R0 + Rn -&gt; R0)</td>
218
  <td colspan=2 style='mso-ignore:colspan'></td>
219
  <td class=xl65></td>
220
 </tr>
221
 <tr height=20 style='height:15.0pt'>
222
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_Z</td>
223
  <td class=xl89 style='border-top:none;border-left:none'>01011</td>
224
  <td class=xl89 style='border-top:none;border-left:none'>000</td>
225
  <td class=xl89 style='border-top:none;border-left:none'>Z</td>
226
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Set
227
  the ZERO flag in the status register</td>
228
  <td colspan=2 style='mso-ignore:colspan'></td>
229
  <td class=xl65></td>
230
 </tr>
231
 <tr height=20 style='height:15.0pt'>
232
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_C</td>
233
  <td class=xl89 style='border-top:none;border-left:none'>01011</td>
234
  <td class=xl89 style='border-top:none;border-left:none'>001</td>
235
  <td class=xl89 style='border-top:none;border-left:none'>C</td>
236
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Set
237
  the CARRY flag in the status register</td>
238
  <td colspan=2 style='mso-ignore:colspan'></td>
239
  <td class=xl65></td>
240
 </tr>
241
 <tr height=20 style='height:15.0pt'>
242
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_N</td>
243
  <td class=xl89 style='border-top:none;border-left:none'>01011</td>
244
  <td class=xl89 style='border-top:none;border-left:none'>010</td>
245
  <td class=xl89 style='border-top:none;border-left:none'>N</td>
246
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Set
247
  the NEGATIVE bit in the status register</td>
248
  <td colspan=2 style='mso-ignore:colspan'></td>
249
  <td class=xl65></td>
250
 </tr>
251
 <tr height=20 style='height:15.0pt'>
252
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_I</td>
253
  <td class=xl89 style='border-top:none;border-left:none'>01011</td>
254
  <td class=xl89 style='border-top:none;border-left:none'>011</td>
255
  <td class=xl89 style='border-top:none;border-left:none'>I</td>
256
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>set
257
  the INTERRUPT bit in the status register</td>
258
  <td colspan=2 style='mso-ignore:colspan'></td>
259
  <td class=xl65></td>
260
 </tr>
261
 <tr height=20 style='height:15.0pt'>
262
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_GP4</td>
263
  <td class=xl89 style='border-top:none;border-left:none'>01011</td>
264
  <td class=xl89 style='border-top:none;border-left:none'>100</td>
265
  <td class=xl89 style='border-top:none;border-left:none'>4</td>
266
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Set
267
  General Purpose Flag 1 in the status register</td>
268
  <td colspan=2 style='mso-ignore:colspan'></td>
269
  <td class=xl65></td>
270
 </tr>
271
 <tr height=20 style='height:15.0pt'>
272
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_GP5</td>
273
  <td class=xl89 style='border-top:none;border-left:none'>01011</td>
274
  <td class=xl89 style='border-top:none;border-left:none'>101</td>
275
  <td class=xl89 style='border-top:none;border-left:none'>5</td>
276
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Set
277
  General Purpose Flag 2 in the status register</td>
278
  <td colspan=2 style='mso-ignore:colspan'></td>
279
  <td class=xl65></td>
280
 </tr>
281
 <tr height=20 style='height:15.0pt'>
282
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_GP6</td>
283
  <td class=xl89 style='border-top:none;border-left:none'>01011</td>
284
  <td class=xl89 style='border-top:none;border-left:none'>110</td>
285
  <td class=xl89 style='border-top:none;border-left:none'>6</td>
286
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Set
287
  General Purpose Flag 3 in the status register</td>
288
  <td colspan=2 style='mso-ignore:colspan'></td>
289
  <td class=xl65></td>
290
 </tr>
291
 <tr height=20 style='height:15.0pt'>
292
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_GP7</td>
293
  <td class=xl89 style='border-top:none;border-left:none'>01011</td>
294
  <td class=xl89 style='border-top:none;border-left:none'>111</td>
295
  <td class=xl89 style='border-top:none;border-left:none'>7</td>
296
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Set
297
  General Purpose Flag 4 in the status register</td>
298
  <td colspan=2 style='mso-ignore:colspan'></td>
299
  <td class=xl65></td>
300
 </tr>
301
 <tr height=20 style='height:15.0pt'>
302
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>BTT 0-7</td>
303
  <td class=xl77 style='border-top:none;border-left:none'>01100</td>
304
  <td class=xl77 style='border-top:none;border-left:none'>xxx</td>
305
  <td class=xl77 style='border-top:none;border-left:none'>N,Z</td>
306
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Test
307
  the nth bit in R0 (!R0(n) -&gt; Z, R0(7) -&gt; N)</td>
308
  <td colspan=2 style='mso-ignore:colspan'></td>
309
  <td class=xl65></td>
310
 </tr>
311
 <tr height=20 style='height:15.0pt'>
312
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_Z</td>
313
  <td class=xl89 style='border-top:none;border-left:none'>01101</td>
314
  <td class=xl89 style='border-top:none;border-left:none'>000</td>
315
  <td class=xl89 style='border-top:none;border-left:none'>Z</td>
316
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
317
  the ZERO flag in the status register</td>
318
  <td colspan=2 style='mso-ignore:colspan'></td>
319
  <td class=xl65></td>
320
 </tr>
321
 <tr height=20 style='height:15.0pt'>
322
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_C</td>
323
  <td class=xl89 style='border-top:none;border-left:none'>01101</td>
324
  <td class=xl89 style='border-top:none;border-left:none'>001</td>
325
  <td class=xl89 style='border-top:none;border-left:none'>C</td>
326
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
327
  the CARRY flag in the status register</td>
328
  <td colspan=2 style='mso-ignore:colspan'></td>
329
  <td class=xl65></td>
330
 </tr>
331
 <tr height=20 style='height:15.0pt'>
332
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_N</td>
333
  <td class=xl89 style='border-top:none;border-left:none'>01101</td>
334
  <td class=xl89 style='border-top:none;border-left:none'>010</td>
335
  <td class=xl89 style='border-top:none;border-left:none'>N</td>
336
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
337
  the NEGATIVE bit in the status register</td>
338
  <td colspan=2 style='mso-ignore:colspan'></td>
339
  <td class=xl65></td>
340
 </tr>
341
 <tr height=20 style='height:15.0pt'>
342
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_I</td>
343
  <td class=xl89 style='border-top:none;border-left:none'>01101</td>
344
  <td class=xl89 style='border-top:none;border-left:none'>011</td>
345
  <td class=xl89 style='border-top:none;border-left:none'>I</td>
346
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
347
  the INTERRUPT bit in the status register</td>
348
  <td colspan=2 style='mso-ignore:colspan'></td>
349
  <td class=xl65></td>
350
 </tr>
351
 <tr height=20 style='height:15.0pt'>
352
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_GP4</td>
353
  <td class=xl89 style='border-top:none;border-left:none'>01101</td>
354
  <td class=xl89 style='border-top:none;border-left:none'>100</td>
355
  <td class=xl89 style='border-top:none;border-left:none'>4</td>
356
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
357
  General Purpose Flag 1 in the status register</td>
358
  <td colspan=2 style='mso-ignore:colspan'></td>
359
  <td class=xl65></td>
360
 </tr>
361
 <tr height=20 style='height:15.0pt'>
362
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_GP5</td>
363
  <td class=xl89 style='border-top:none;border-left:none'>01101</td>
364
  <td class=xl89 style='border-top:none;border-left:none'>101</td>
365
  <td class=xl89 style='border-top:none;border-left:none'>5</td>
366
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
367
  General Purpose Flag 2 in the status register</td>
368
  <td colspan=2 style='mso-ignore:colspan'></td>
369
  <td class=xl65></td>
370
 </tr>
371
 <tr height=20 style='height:15.0pt'>
372
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_GP6</td>
373
  <td class=xl89 style='border-top:none;border-left:none'>01101</td>
374
  <td class=xl89 style='border-top:none;border-left:none'>110</td>
375
  <td class=xl89 style='border-top:none;border-left:none'>6</td>
376
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
377
  General Purpose Flag 3 in the status register</td>
378
  <td colspan=2 style='mso-ignore:colspan'></td>
379
  <td class=xl65></td>
380
 </tr>
381
 <tr height=20 style='height:15.0pt'>
382
  <td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_GP7</td>
383
  <td class=xl89 style='border-top:none;border-left:none'>01101</td>
384
  <td class=xl89 style='border-top:none;border-left:none'>111</td>
385
  <td class=xl89 style='border-top:none;border-left:none'>7</td>
386
  <td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
387
  General Purpose Flag 4 in the status register</td>
388
  <td colspan=2 style='mso-ignore:colspan'></td>
389
  <td class=xl65></td>
390
 </tr>
391
 <tr height=20 style='height:15.0pt'>
392
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>T0X R0-R7</td>
393
  <td class=xl77 style='border-top:none;border-left:none'>01110</td>
394
  <td class=xl77 style='border-top:none;border-left:none'>xxx</td>
395
  <td class=xl77 style='border-top:none;border-left:none'>N,Z</td>
396
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Transfer
397
  R0 to Rn (R0 -&gt; Rn)</td>
398
  <td colspan=2 style='mso-ignore:colspan'></td>
399
  <td class=xl65></td>
400
 </tr>
401
 <tr height=20 style='height:15.0pt'>
402
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>CMP R0-R7</td>
403
  <td class=xl77 style='border-top:none;border-left:none'>01111</td>
404
  <td class=xl77 style='border-top:none;border-left:none'>xxx</td>
405
  <td class=xl77 style='border-top:none;border-left:none'>N,C,Z</td>
406
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Compare
407
  R0 to Rn (Performs R0 - Rn, but only sets flags)</td>
408
  <td colspan=2 style='mso-ignore:colspan'></td>
409
  <td class=xl65></td>
410
 </tr>
411
 <tr height=20 style='height:15.0pt'>
412
  <td height=20 class=xl91 style='height:15.0pt;border-top:none'>PSH R0-R7</td>
413
  <td class=xl92 style='border-top:none;border-left:none'>10000</td>
414
  <td class=xl92 style='border-top:none;border-left:none'>xxx</td>
415
  <td class=xl92 style='border-top:none;border-left:none'>-</td>
416
  <td class=xl93 width=670 style='border-top:none;border-left:none;width:503pt'>Push
417
  Rn to the stack</td>
418
  <td colspan=2 style='mso-ignore:colspan'></td>
419
  <td class=xl65></td>
420
 </tr>
421
 <tr height=20 style='height:15.0pt'>
422
  <td height=20 class=xl91 style='height:15.0pt;border-top:none'>POP R0-R7</td>
423
  <td class=xl92 style='border-top:none;border-left:none'>10001</td>
424
  <td class=xl92 style='border-top:none;border-left:none'>xxx</td>
425
  <td class=xl92 style='border-top:none;border-left:none'>N,Z</td>
426
  <td class=xl93 width=670 style='border-top:none;border-left:none;width:503pt'>Pop
427
  Rn from the stack</td>
428
  <td colspan=2 style='mso-ignore:colspan'></td>
429
  <td class=xl65></td>
430
 </tr>
431
 <tr height=20 style='height:15.0pt'>
432
  <td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNZ</td>
433
  <td class=xl86 style='border-top:none;border-left:none'>10010</td>
434
  <td class=xl86 style='border-top:none;border-left:none'>000</td>
435
  <td class=xl86 style='border-top:none;border-left:none'>-</td>
436
  <td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
437
  if the ZERO flag is NOT set (Branch on Not Zero)</td>
438
  <td colspan=2 style='mso-ignore:colspan'></td>
439
  <td class=xl65></td>
440
 </tr>
441
 <tr height=20 style='height:15.0pt'>
442
  <td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNC</td>
443
  <td class=xl86 style='border-top:none;border-left:none'>10010</td>
444
  <td class=xl86 style='border-top:none;border-left:none'>001</td>
445
  <td class=xl86 style='border-top:none;border-left:none'>-</td>
446
  <td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
447
  if the CARRY flag is NOT set (Branch on Not Carry)</td>
448
  <td colspan=2 style='mso-ignore:colspan'></td>
449
  <td class=xl65></td>
450
 </tr>
451
 <tr height=20 style='height:15.0pt'>
452
  <td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNN</td>
453
  <td class=xl86 style='border-top:none;border-left:none'>10010</td>
454
  <td class=xl86 style='border-top:none;border-left:none'>010</td>
455
  <td class=xl86 style='border-top:none;border-left:none'>-</td>
456
  <td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
457
  if the NEGATIVE flag is NOT set (Branch on Not Negative)</td>
458
  <td colspan=2 style='mso-ignore:colspan'></td>
459
  <td class=xl65></td>
460
 </tr>
461
 <tr height=20 style='height:15.0pt'>
462
  <td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNI</td>
463
  <td class=xl86 style='border-top:none;border-left:none'>10010</td>
464
  <td class=xl86 style='border-top:none;border-left:none'>011</td>
465
  <td class=xl86 style='border-top:none;border-left:none'>-</td>
466
  <td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
467
  if the INTERRUPT flag is NOT set (Branch on Not Interrupt)</td>
468
  <td colspan=2 style='mso-ignore:colspan'></td>
469
  <td class=xl65></td>
470
 </tr>
471
 <tr height=20 style='height:15.0pt'>
472
  <td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNGP4</td>
473
  <td class=xl86 style='border-top:none;border-left:none'>10010</td>
474
  <td class=xl86 style='border-top:none;border-left:none'>100</td>
475
  <td class=xl86 style='border-top:none;border-left:none'>-</td>
476
  <td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
477
  if General Purpose Flag 4 is NOT set</td>
478
  <td colspan=2 style='mso-ignore:colspan'></td>
479
  <td class=xl65></td>
480
 </tr>
481
 <tr height=20 style='height:15.0pt'>
482
  <td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNGP5</td>
483
  <td class=xl86 style='border-top:none;border-left:none'>10010</td>
484
  <td class=xl86 style='border-top:none;border-left:none'>101</td>
485
  <td class=xl86 style='border-top:none;border-left:none'>-</td>
486
  <td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
487
  if General Purpose Flag 5 is NOT set</td>
488
  <td colspan=2 style='mso-ignore:colspan'></td>
489
  <td class=xl65></td>
490
 </tr>
491
 <tr height=20 style='height:15.0pt'>
492
  <td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNGP6</td>
493
  <td class=xl86 style='border-top:none;border-left:none'>10010</td>
494
  <td class=xl86 style='border-top:none;border-left:none'>110</td>
495
  <td class=xl86 style='border-top:none;border-left:none'>-</td>
496
  <td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
497
  if General Purpose Flag 6 is NOT set</td>
498
  <td colspan=2 style='mso-ignore:colspan'></td>
499
  <td class=xl65></td>
500
 </tr>
501
 <tr height=20 style='height:15.0pt'>
502
  <td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNGP7</td>
503
  <td class=xl86 style='border-top:none;border-left:none'>10010</td>
504
  <td class=xl86 style='border-top:none;border-left:none'>111</td>
505
  <td class=xl86 style='border-top:none;border-left:none'>-</td>
506
  <td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
507
  if General Purpose Flag 7 is NOT set</td>
508
  <td colspan=2 style='mso-ignore:colspan'></td>
509
  <td class=xl65></td>
510
 </tr>
511
 <tr height=20 style='height:15.0pt'>
512
  <td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRZ</td>
513
  <td class=xl83 style='border-top:none;border-left:none'>10011</td>
514
  <td class=xl83 style='border-top:none;border-left:none'>000</td>
515
  <td class=xl83 style='border-top:none;border-left:none'>-</td>
516
  <td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
517
  if the ZERO flag is set (Branch on Zero)</td>
518
  <td colspan=2 style='mso-ignore:colspan'></td>
519
  <td class=xl65></td>
520
 </tr>
521
 <tr height=20 style='height:15.0pt'>
522
  <td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRC</td>
523
  <td class=xl83 style='border-top:none;border-left:none'>10011</td>
524
  <td class=xl83 style='border-top:none;border-left:none'>001</td>
525
  <td class=xl83 style='border-top:none;border-left:none'>-</td>
526
  <td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
527
  if the CARRY flag is set (Branch on Carry)</td>
528
  <td colspan=2 style='mso-ignore:colspan'></td>
529
  <td class=xl65></td>
530
 </tr>
531
 <tr height=20 style='height:15.0pt'>
532
  <td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRN</td>
533
  <td class=xl83 style='border-top:none;border-left:none'>10011</td>
534
  <td class=xl83 style='border-top:none;border-left:none'>010</td>
535
  <td class=xl83 style='border-top:none;border-left:none'>-</td>
536
  <td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
537
  if the NEGATIVE flag is set (Branch on Negative)</td>
538
  <td colspan=2 style='mso-ignore:colspan'></td>
539
  <td class=xl65></td>
540
 </tr>
541
 <tr height=20 style='height:15.0pt'>
542
  <td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRI</td>
543
  <td class=xl83 style='border-top:none;border-left:none'>10011</td>
544
  <td class=xl83 style='border-top:none;border-left:none'>011</td>
545
  <td class=xl83 style='border-top:none;border-left:none'>-</td>
546
  <td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
547
  if the INTERRUPT flag is set (Branch on Interrupt)</td>
548
  <td colspan=2 style='mso-ignore:colspan'></td>
549
  <td class=xl65></td>
550
 </tr>
551
 <tr height=20 style='height:15.0pt'>
552
  <td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRGP4</td>
553
  <td class=xl83 style='border-top:none;border-left:none'>10011</td>
554
  <td class=xl83 style='border-top:none;border-left:none'>100</td>
555
  <td class=xl83 style='border-top:none;border-left:none'>-</td>
556
  <td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
557
  if General Purpose Flag 4 is set</td>
558
  <td colspan=2 style='mso-ignore:colspan'></td>
559
  <td class=xl65></td>
560
 </tr>
561
 <tr height=20 style='height:15.0pt'>
562
  <td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRGP5</td>
563
  <td class=xl83 style='border-top:none;border-left:none'>10011</td>
564
  <td class=xl83 style='border-top:none;border-left:none'>101</td>
565
  <td class=xl83 style='border-top:none;border-left:none'>-</td>
566
  <td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
567
  if General Purpose Flag 5 is set</td>
568
  <td colspan=2 style='mso-ignore:colspan'></td>
569
  <td class=xl65></td>
570
 </tr>
571
 <tr height=20 style='height:15.0pt'>
572
  <td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRGP6</td>
573
  <td class=xl83 style='border-top:none;border-left:none'>10011</td>
574
  <td class=xl83 style='border-top:none;border-left:none'>110</td>
575
  <td class=xl83 style='border-top:none;border-left:none'>-</td>
576
  <td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
577
  if General Purpose Flag 6 is set</td>
578
  <td colspan=2 style='mso-ignore:colspan'></td>
579
  <td class=xl65></td>
580
 </tr>
581
 <tr height=20 style='height:15.0pt'>
582
  <td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRGP7</td>
583
  <td class=xl83 style='border-top:none;border-left:none'>10011</td>
584
  <td class=xl83 style='border-top:none;border-left:none'>111</td>
585
  <td class=xl83 style='border-top:none;border-left:none'>-</td>
586
  <td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
587
  if General Purpose Flag 7 is set</td>
588
  <td colspan=2 style='mso-ignore:colspan'></td>
589
  <td class=xl65></td>
590
 </tr>
591
 <tr height=20 style='height:15.0pt'>
592
  <td height=20 class=xl97 style='height:15.0pt;border-top:none'>DBNZ R0-R7</td>
593
  <td class=xl98 style='border-top:none;border-left:none'>10100</td>
594
  <td class=xl98 style='border-top:none;border-left:none'>xxx</td>
595
  <td class=xl98 style='border-top:none;border-left:none'>N,C,Z</td>
596
  <td class=xl99 width=670 style='border-top:none;border-left:none;width:503pt'>Decrement
597
  and Branch if Not Zero (Rn - 1 -&gt; Rn)</td>
598
  <td colspan=2 style='mso-ignore:colspan'></td>
599
  <td class=xl65></td>
600
 </tr>
601
 <tr height=20 style='height:15.0pt'>
602
  <td height=20 class=xl100 style='height:15.0pt;border-top:none'>INT 0-7</td>
603
  <td class=xl101 style='border-top:none;border-left:none'>10101</td>
604
  <td class=xl101 style='border-top:none;border-left:none'>xxx</td>
605
  <td class=xl101 style='border-top:none;border-left:none'>-</td>
606
  <td class=xl102 width=670 style='border-top:none;border-left:none;width:503pt'>Trigger
607
  Interrupt n (Triggers the specified interrupt, then pauses the processor
608
  until the ISR executes)</td>
609
  <td colspan=2 style='mso-ignore:colspan'></td>
610
  <td class=xl65></td>
611
 </tr>
612
 <tr height=20 style='height:15.0pt'>
613
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>MUL R0-R7</td>
614
  <td class=xl77 style='border-top:none;border-left:none'>10110</td>
615
  <td class=xl103 style='border-top:none;border-left:none'>xxx</td>
616
  <td class=xl77 style='border-top:none;border-left:none'>Z</td>
617
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Multiply
618
  Rn by R0 with results stored in R1:R0 (Rn * R0 -&gt; R1:R0)</td>
619
  <td colspan=2 style='mso-ignore:colspan'></td>
620
  <td class=xl65></td>
621
 </tr>
622
 <tr height=20 style='height:15.0pt'>
623
  <td height=20 class=xl94 style='height:15.0pt;border-top:none'>RSP</td>
624
  <td class=xl95 style='border-top:none;border-left:none'>10111</td>
625
  <td class=xl95 style='border-top:none;border-left:none'>000</td>
626
  <td class=xl95 style='border-top:none;border-left:none'>-</td>
627
  <td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Reset
628
  (or Retrieve/Relocate) the Stack Pointer <font class="font5">(See CPU options
629
  for exact behavior)</font></td>
630
  <td colspan=2 style='mso-ignore:colspan'></td>
631
  <td class=xl65></td>
632
 </tr>
633
 <tr height=20 style='height:15.0pt'>
634
  <td height=20 class=xl94 style='height:15.0pt;border-top:none'>RTS</td>
635
  <td class=xl95 style='border-top:none;border-left:none'>10111</td>
636
  <td class=xl95 style='border-top:none;border-left:none'>001</td>
637
  <td class=xl95 style='border-top:none;border-left:none'>-</td>
638
  <td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Return
639
  from Subroutine (only pops the return address and jumps - does not affect
640
  flags)</td>
641
  <td colspan=2 style='mso-ignore:colspan'></td>
642
  <td class=xl65></td>
643
 </tr>
644
 <tr height=20 style='height:15.0pt'>
645
  <td height=20 class=xl94 style='height:15.0pt;border-top:none'>RTI</td>
646
  <td class=xl95 style='border-top:none;border-left:none'>10111</td>
647
  <td class=xl95 style='border-top:none;border-left:none'>010</td>
648
  <td class=xl95 style='border-top:none;border-left:none'>-</td>
649
  <td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Return
650
  from Interrupt (restores both the address and the status register)</td>
651
  <td colspan=2 style='mso-ignore:colspan'></td>
652
  <td class=xl65></td>
653
 </tr>
654
 <tr height=20 style='height:15.0pt'>
655
  <td height=20 class=xl94 style='height:15.0pt;border-top:none'>BRK/WAI</td>
656
  <td class=xl95 style='border-top:none;border-left:none'>10111</td>
657
  <td class=xl95 style='border-top:none;border-left:none'>011</td>
658
  <td class=xl95 style='border-top:none;border-left:none'>-</td>
659
  <td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Break
660
  or Wait for Interrupt (See CPU options for exact behavior)</td>
661
  <td colspan=2 style='mso-ignore:colspan'></td>
662
  <td class=xl65></td>
663
 </tr>
664
 <tr height=20 style='height:15.0pt'>
665
  <td height=20 class=xl94 style='height:15.0pt;border-top:none'>JMP</td>
666
  <td class=xl95 style='border-top:none;border-left:none'>10111</td>
667
  <td class=xl95 style='border-top:none;border-left:none'>100</td>
668
  <td class=xl95 style='border-top:none;border-left:none'>-</td>
669
  <td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Jump
670
  to Address (Address is assumed to be stored after the instruction)</td>
671
  <td colspan=2 style='mso-ignore:colspan'></td>
672
  <td class=xl65></td>
673
 </tr>
674
 <tr height=20 style='height:15.0pt'>
675
  <td height=20 class=xl94 style='height:15.0pt;border-top:none'>SMSK</td>
676
  <td class=xl95 style='border-top:none;border-left:none'>10111</td>
677
  <td class=xl95 style='border-top:none;border-left:none'>101</td>
678
  <td class=xl95 style='border-top:none;border-left:none'>-</td>
679
  <td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Set
680
  the Interrupt Mask to the contents of R0 (R0 -&gt; MASK)</td>
681
  <td colspan=2 style='mso-ignore:colspan'></td>
682
  <td class=xl65></td>
683
 </tr>
684
 <tr height=20 style='height:15.0pt'>
685
  <td height=20 class=xl94 style='height:15.0pt;border-top:none'>GMSK</td>
686
  <td class=xl95 style='border-top:none;border-left:none'>10111</td>
687
  <td class=xl95 style='border-top:none;border-left:none'>110</td>
688
  <td class=xl95 style='border-top:none;border-left:none'>-</td>
689
  <td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Get
690
  the Interrupt Mask and store to R0 (MASK -&gt; R0)</td>
691
  <td colspan=2 style='mso-ignore:colspan'></td>
692
  <td class=xl65></td>
693
 </tr>
694
 <tr height=21 style='mso-height-source:userset;height:15.75pt'>
695
  <td height=21 class=xl94 style='height:15.75pt;border-top:none'>JSR</td>
696
  <td class=xl95 style='border-top:none;border-left:none'>10111</td>
697
  <td class=xl95 style='border-top:none;border-left:none'>111</td>
698
  <td class=xl95 style='border-top:none;border-left:none'>-</td>
699
  <td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Jump
700
  to Subroutine (pushes the return address, then jumps to the address specified
701
  in subsequent bytes)</td>
702
  <td colspan=2 style='mso-ignore:colspan'></td>
703
  <td class=xl65></td>
704
 </tr>
705
 <tr height=20 style='height:15.0pt'>
706
  <td height=20 class=xl76 style='height:15.0pt;border-top:none'>UPP R0-R7</td>
707
  <td class=xl77 style='border-top:none;border-left:none'>11000</td>
708
  <td class=xl77 style='border-top:none;border-left:none'>xxx</td>
709 277 jshamlet
  <td class=xl77 style='border-top:none;border-left:none'>C</td>
710 241 jshamlet
  <td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Increment
711
  Register Pair (Rn+1:Rn + 1 -&gt; Rn+1:Rn)</td>
712
  <td colspan=2 style='mso-ignore:colspan'></td>
713
  <td class=xl65></td>
714
 </tr>
715
 <tr height=20 style='height:15.0pt'>
716
  <td height=20 class=xl79 style='height:15.0pt;border-top:none'>STA R0-R7</td>
717
  <td class=xl80 style='border-top:none;border-left:none'>11001</td>
718
  <td class=xl80 style='border-top:none;border-left:none'>xxx</td>
719
  <td class=xl80 style='border-top:none;border-left:none'>-</td>
720
  <td class=xl81 width=670 style='border-top:none;border-left:none;width:503pt'>Store
721
  Rn to Fixed Address (Address follows instruction)</td>
722
  <td colspan=2 style='mso-ignore:colspan'></td>
723
  <td class=xl65></td>
724
 </tr>
725
 <tr height=20 style='height:15.0pt'>
726
  <td height=20 class=xl79 style='height:15.0pt;border-top:none'>STX R0-R7(+)</td>
727
  <td class=xl80 style='border-top:none;border-left:none'>11010</td>
728
  <td class=xl80 style='border-top:none;border-left:none'>xxx</td>
729 277 jshamlet
  <td class=xl80 style='border-top:none;border-left:none'>(C*)</td>
730 241 jshamlet
  <td class=xl81 width=670 style='border-top:none;border-left:none;width:503pt'>Store
731
  R0 to Address referenced by Rn+1:Rn (See CPU options for exact behavior)</td>
732
  <td colspan=2 style='mso-ignore:colspan'></td>
733
  <td class=xl65></td>
734
 </tr>
735
 <tr height=20 style='height:15.0pt'>
736
  <td height=20 class=xl79 style='height:15.0pt;border-top:none'>STO R0-R7(+)</td>
737
  <td class=xl80 style='border-top:none;border-left:none'>11011</td>
738
  <td class=xl80 style='border-top:none;border-left:none'>xxx</td>
739 277 jshamlet
  <td class=xl80 style='border-top:none;border-left:none'>(C*)</td>
740 241 jshamlet
  <td class=xl81 width=670 style='border-top:none;border-left:none;width:503pt'>Store
741
  R0 to Rn+1:Rn + Offset (Offset is stored in subsequent byte) (See CPU options
742
  for exact behavior)</td>
743
  <td colspan=2 style='mso-ignore:colspan'></td>
744
  <td class=xl65></td>
745
 </tr>
746
 <tr height=20 style='height:15.0pt'>
747
  <td height=20 class=xl91 style='height:15.0pt;border-top:none'>LDI R0-R7</td>
748
  <td class=xl92 style='border-top:none;border-left:none'>11100</td>
749
  <td class=xl92 style='border-top:none;border-left:none'>xxx</td>
750
  <td class=xl92 style='border-top:none;border-left:none'>N,Z</td>
751
  <td class=xl93 width=670 style='border-top:none;border-left:none;width:503pt'>Load
752
  Rn with Immediate Data (IMM -&gt; Rn) (Data is stored in subsequent byte)</td>
753
  <td colspan=2 style='mso-ignore:colspan'></td>
754
  <td class=xl65></td>
755
 </tr>
756
 <tr height=20 style='height:15.0pt'>
757
  <td height=20 class=xl91 style='height:15.0pt;border-top:none'>LDA R0-R7</td>
758
  <td class=xl92 style='border-top:none;border-left:none'>11101</td>
759
  <td class=xl92 style='border-top:none;border-left:none'>xxx</td>
760
  <td class=xl92 style='border-top:none;border-left:none'>N,Z</td>
761
  <td class=xl93 width=670 style='border-top:none;border-left:none;width:503pt'>Load
762
  Rn from Fixed Address (Address follows instruction)</td>
763
  <td colspan=2 style='mso-ignore:colspan'></td>
764
  <td class=xl65></td>
765
 </tr>
766
 <tr height=20 style='height:15.0pt'>
767
  <td height=20 class=xl91 style='height:15.0pt;border-top:none'>LDX R0-R7(+)</td>
768
  <td class=xl92 style='border-top:none;border-left:none'>11110</td>
769
  <td class=xl92 style='border-top:none;border-left:none'>xxx</td>
770 277 jshamlet
  <td class=xl92 style='border-top:none;border-left:none'>N,Z,(C*)</td>
771 241 jshamlet
  <td class=xl93 width=670 style='border-top:none;border-left:none;width:503pt'>Load
772
  R0 from Address referenced by Rn+1:Rn (See CPU options for exact behavior)</td>
773
  <td colspan=2 style='mso-ignore:colspan'></td>
774
  <td class=xl65></td>
775
 </tr>
776
 <tr height=40 style='height:30.0pt'>
777
  <td height=40 class=xl91 style='height:30.0pt;border-top:none'>LDO R0-R7(+)</td>
778
  <td class=xl92 style='border-top:none;border-left:none'>11111</td>
779
  <td class=xl92 style='border-top:none;border-left:none'>xxx</td>
780 277 jshamlet
  <td class=xl92 style='border-top:none;border-left:none'>N,Z,(C*)</td>
781 241 jshamlet
  <td class=xl93 width=670 style='border-top:none;border-left:none;width:503pt'>Load
782
  R0 from Address referenced by Rn+1:Rn plus an offset<span
783
  style='mso-spacerun:yes'>  </span>(Offset is stored in subsequent byte) (See
784
  CPU options for exact behavior)</td>
785
  <td colspan=2 style='mso-ignore:colspan'></td>
786
  <td class=xl65></td>
787
 </tr>
788
 <tr height=20 style='height:15.0pt'>
789
  <td height=20 style='height:15.0pt'></td>
790
  <td colspan=2 class=xl67 style='mso-ignore:colspan'></td>
791
  <td class=xl66></td>
792
  <td class=xl68></td>
793
  <td colspan=2 style='mso-ignore:colspan'></td>
794
  <td class=xl65></td>
795
 </tr>
796
 <tr height=60 style='height:45.0pt'>
797
  <td height=60 style='height:45.0pt'></td>
798
  <td colspan=2 class=xl67 style='mso-ignore:colspan'></td>
799
  <td class=xl66></td>
800
  <td class=xl68 width=670 style='width:503pt'>Note - Indexed instructions with
801
  (+) after the operand optionally allow for auto-incrementing of the register
802
  pair. For example, LDX R4++ is equivalent to LDX R5 when auto-incrementing is
803
  turned on) See CPU options for exact behavior)</td>
804
  <td colspan=2 style='mso-ignore:colspan'></td>
805
  <td class=xl65></td>
806
 </tr>
807
 <![if supportMisalignedColumns]>
808
 <tr height=0 style='display:none'>
809
  <td width=86 style='width:65pt'></td>
810
  <td width=74 style='width:56pt'></td>
811
  <td width=61 style='width:46pt'></td>
812
  <td width=64 style='width:48pt'></td>
813
  <td width=670 style='width:503pt'></td>
814
  <td width=64 style='width:48pt'></td>
815
  <td width=97 style='width:73pt'></td>
816
  <td width=64 style='width:48pt'></td>
817
 </tr>
818
 <![endif]>
819
</table>
820
 
821
</body>
822
 
823
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.