OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [adc_buffer.vhd] - Blame information for rev 315

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 315 jshamlet
-- megafunction wizard: %RAM: 2-PORT%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altsyncram 
5
 
6
-- ============================================================
7
-- File Name: adc_buffer.vhd
8
-- Megafunction Name(s):
9
--                      altsyncram
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 20.1.0 Build 711 06/05/2020 SJ Lite Edition
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 2020  Intel Corporation. All rights reserved.
22
--Your use of Intel Corporation's design tools, logic functions 
23
--and other software and tools, and any partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Intel Program License 
28
--Subscription Agreement, the Intel Quartus Prime License Agreement,
29
--the Intel FPGA IP License Agreement, or other applicable license
30
--agreement, including, without limitation, that your use is for
31
--the sole purpose of programming logic devices manufactured by
32
--Intel and sold by Intel or its authorized distributors.  Please
33
--refer to the applicable agreement for further details, at
34
--https://fpgasoftware.intel.com/eula.
35
 
36
 
37
LIBRARY ieee;
38
USE ieee.std_logic_1164.all;
39
 
40
LIBRARY altera_mf;
41
USE altera_mf.altera_mf_components.all;
42
 
43
ENTITY adc_buffer IS
44
        PORT
45
        (
46
                clock           : IN STD_LOGIC  := '1';
47
                data            : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
48
                rdaddress               : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
49
                wraddress               : IN STD_LOGIC_VECTOR (2 DOWNTO 0);
50
                wren            : IN STD_LOGIC  := '0';
51
                q               : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
52
        );
53
END adc_buffer;
54
 
55
 
56
ARCHITECTURE SYN OF adc_buffer IS
57
 
58
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (7 DOWNTO 0);
59
 
60
BEGIN
61
        q    <= sub_wire0(7 DOWNTO 0);
62
 
63
        altsyncram_component : altsyncram
64
        GENERIC MAP (
65
                address_aclr_b => "NONE",
66
                address_reg_b => "CLOCK0",
67
                clock_enable_input_a => "BYPASS",
68
                clock_enable_input_b => "BYPASS",
69
                clock_enable_output_b => "BYPASS",
70
                intended_device_family => "Cyclone IV E",
71
                lpm_type => "altsyncram",
72
                numwords_a => 8,
73
                numwords_b => 16,
74
                operation_mode => "DUAL_PORT",
75
                outdata_aclr_b => "NONE",
76
                outdata_reg_b => "UNREGISTERED",
77
                power_up_uninitialized => "FALSE",
78
                read_during_write_mode_mixed_ports => "DONT_CARE",
79
                widthad_a => 3,
80
                widthad_b => 4,
81
                width_a => 16,
82
                width_b => 8,
83
                width_byteena_a => 1
84
        )
85
        PORT MAP (
86
                address_a => wraddress,
87
                address_b => rdaddress,
88
                clock0 => clock,
89
                data_a => data,
90
                wren_a => wren,
91
                q_b => sub_wire0
92
        );
93
 
94
 
95
 
96
END SYN;
97
 
98
-- ============================================================
99
-- CNX file retrieval info
100
-- ============================================================
101
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
102
-- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
103
-- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
104
-- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
105
-- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
106
-- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
107
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
108
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
109
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
110
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
111
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
112
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
113
-- Retrieval info: PRIVATE: CLRdata NUMERIC "0"
114
-- Retrieval info: PRIVATE: CLRq NUMERIC "0"
115
-- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
116
-- Retrieval info: PRIVATE: CLRrren NUMERIC "0"
117
-- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
118
-- Retrieval info: PRIVATE: CLRwren NUMERIC "0"
119
-- Retrieval info: PRIVATE: Clock NUMERIC "0"
120
-- Retrieval info: PRIVATE: Clock_A NUMERIC "0"
121
-- Retrieval info: PRIVATE: Clock_B NUMERIC "0"
122
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
123
-- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
124
-- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0"
125
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B"
126
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
127
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
128
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
129
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
130
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
131
-- Retrieval info: PRIVATE: MEMSIZE NUMERIC "128"
132
-- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
133
-- Retrieval info: PRIVATE: MIFfilename STRING ""
134
-- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2"
135
-- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
136
-- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
137
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
138
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
139
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
140
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3"
141
-- Retrieval info: PRIVATE: REGdata NUMERIC "1"
142
-- Retrieval info: PRIVATE: REGq NUMERIC "1"
143
-- Retrieval info: PRIVATE: REGrdaddress NUMERIC "1"
144
-- Retrieval info: PRIVATE: REGrren NUMERIC "1"
145
-- Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
146
-- Retrieval info: PRIVATE: REGwren NUMERIC "1"
147
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
148
-- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
149
-- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
150
-- Retrieval info: PRIVATE: VarWidth NUMERIC "1"
151
-- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "16"
152
-- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8"
153
-- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "16"
154
-- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8"
155
-- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
156
-- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0"
157
-- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
158
-- Retrieval info: PRIVATE: enable NUMERIC "0"
159
-- Retrieval info: PRIVATE: rden NUMERIC "0"
160
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
161
-- Retrieval info: CONSTANT: ADDRESS_ACLR_B STRING "NONE"
162
-- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0"
163
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
164
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS"
165
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS"
166
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
167
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
168
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "8"
169
-- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "16"
170
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT"
171
-- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
172
-- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
173
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
174
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE"
175
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "3"
176
-- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "4"
177
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "16"
178
-- Retrieval info: CONSTANT: WIDTH_B NUMERIC "8"
179
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
180
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
181
-- Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL "data[15..0]"
182
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
183
-- Retrieval info: USED_PORT: rdaddress 0 0 4 0 INPUT NODEFVAL "rdaddress[3..0]"
184
-- Retrieval info: USED_PORT: wraddress 0 0 3 0 INPUT NODEFVAL "wraddress[2..0]"
185
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT GND "wren"
186
-- Retrieval info: CONNECT: @address_a 0 0 3 0 wraddress 0 0 3 0
187
-- Retrieval info: CONNECT: @address_b 0 0 4 0 rdaddress 0 0 4 0
188
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
189
-- Retrieval info: CONNECT: @data_a 0 0 16 0 data 0 0 16 0
190
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
191
-- Retrieval info: CONNECT: q 0 0 8 0 @q_b 0 0 8 0
192
-- Retrieval info: GEN_FILE: TYPE_NORMAL adc_buffer.vhd TRUE
193
-- Retrieval info: GEN_FILE: TYPE_NORMAL adc_buffer.inc FALSE
194
-- Retrieval info: GEN_FILE: TYPE_NORMAL adc_buffer.cmp FALSE
195
-- Retrieval info: GEN_FILE: TYPE_NORMAL adc_buffer.bsf FALSE
196
-- Retrieval info: GEN_FILE: TYPE_NORMAL adc_buffer_inst.vhd FALSE
197
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.