OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [fifo_1k_core.vhd] - Blame information for rev 207

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 207 jshamlet
-- megafunction wizard: %FIFO%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: scfifo 
5
 
6
-- ============================================================
7
-- File Name: fifo_1k_core.vhd
8
-- Megafunction Name(s):
9
--                      scfifo
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 13.1.0 Build 162 10/23/2013 SJ Web Edition
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2013 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.all;
41
 
42
ENTITY fifo_1k_core IS
43
        PORT
44
        (
45
                aclr            : IN STD_LOGIC ;
46
                clock           : IN STD_LOGIC ;
47
                data            : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
48
                rdreq           : IN STD_LOGIC ;
49
                wrreq           : IN STD_LOGIC ;
50
                almost_full             : OUT STD_LOGIC ;
51
                empty           : OUT STD_LOGIC ;
52
                q               : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
53
        );
54
END fifo_1k_core;
55
 
56
 
57
ARCHITECTURE SYN OF fifo_1k_core IS
58
 
59
        SIGNAL sub_wire0        : STD_LOGIC ;
60
        SIGNAL sub_wire1        : STD_LOGIC ;
61
        SIGNAL sub_wire2        : STD_LOGIC_VECTOR (7 DOWNTO 0);
62
 
63
 
64
 
65
        COMPONENT scfifo
66
        GENERIC (
67
                add_ram_output_register         : STRING;
68
                almost_full_value               : NATURAL;
69
                intended_device_family          : STRING;
70
                lpm_numwords            : NATURAL;
71
                lpm_showahead           : STRING;
72
                lpm_type                : STRING;
73
                lpm_width               : NATURAL;
74
                lpm_widthu              : NATURAL;
75
                overflow_checking               : STRING;
76
                underflow_checking              : STRING;
77
                use_eab         : STRING
78
        );
79
        PORT (
80
                        aclr    : IN STD_LOGIC ;
81
                        almost_full     : OUT STD_LOGIC ;
82
                        clock   : IN STD_LOGIC ;
83
                        data    : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
84
                        rdreq   : IN STD_LOGIC ;
85
                        empty   : OUT STD_LOGIC ;
86
                        q       : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
87
                        wrreq   : IN STD_LOGIC
88
        );
89
        END COMPONENT;
90
 
91
BEGIN
92
        almost_full    <= sub_wire0;
93
        empty    <= sub_wire1;
94
        q    <= sub_wire2(7 DOWNTO 0);
95
 
96
        scfifo_component : scfifo
97
        GENERIC MAP (
98
                add_ram_output_register => "OFF",
99
                almost_full_value => 922,
100
                intended_device_family => "Cyclone IV GX",
101
                lpm_numwords => 1024,
102
                lpm_showahead => "OFF",
103
                lpm_type => "scfifo",
104
                lpm_width => 8,
105
                lpm_widthu => 10,
106
                overflow_checking => "ON",
107
                underflow_checking => "ON",
108
                use_eab => "ON"
109
        )
110
        PORT MAP (
111
                aclr => aclr,
112
                clock => clock,
113
                data => data,
114
                rdreq => rdreq,
115
                wrreq => wrreq,
116
                almost_full => sub_wire0,
117
                empty => sub_wire1,
118
                q => sub_wire2
119
        );
120
 
121
 
122
 
123
END SYN;
124
 
125
-- ============================================================
126
-- CNX file retrieval info
127
-- ============================================================
128
-- Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
129
-- Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
130
-- Retrieval info: PRIVATE: AlmostFull NUMERIC "1"
131
-- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "922"
132
-- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "1"
133
-- Retrieval info: PRIVATE: Clock NUMERIC "0"
134
-- Retrieval info: PRIVATE: Depth NUMERIC "1024"
135
-- Retrieval info: PRIVATE: Empty NUMERIC "1"
136
-- Retrieval info: PRIVATE: Full NUMERIC "0"
137
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX"
138
-- Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
139
-- Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
140
-- Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
141
-- Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
142
-- Retrieval info: PRIVATE: Optimize NUMERIC "2"
143
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
144
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
145
-- Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
146
-- Retrieval info: PRIVATE: UsedW NUMERIC "0"
147
-- Retrieval info: PRIVATE: Width NUMERIC "8"
148
-- Retrieval info: PRIVATE: dc_aclr NUMERIC "0"
149
-- Retrieval info: PRIVATE: diff_widths NUMERIC "0"
150
-- Retrieval info: PRIVATE: msb_usedw NUMERIC "0"
151
-- Retrieval info: PRIVATE: output_width NUMERIC "8"
152
-- Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
153
-- Retrieval info: PRIVATE: rsFull NUMERIC "0"
154
-- Retrieval info: PRIVATE: rsUsedW NUMERIC "0"
155
-- Retrieval info: PRIVATE: sc_aclr NUMERIC "1"
156
-- Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
157
-- Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
158
-- Retrieval info: PRIVATE: wsFull NUMERIC "1"
159
-- Retrieval info: PRIVATE: wsUsedW NUMERIC "0"
160
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
161
-- Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"
162
-- Retrieval info: CONSTANT: ALMOST_FULL_VALUE NUMERIC "922"
163
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX"
164
-- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "1024"
165
-- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
166
-- Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo"
167
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8"
168
-- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "10"
169
-- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
170
-- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
171
-- Retrieval info: CONSTANT: USE_EAB STRING "ON"
172
-- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL "aclr"
173
-- Retrieval info: USED_PORT: almost_full 0 0 0 0 OUTPUT NODEFVAL "almost_full"
174
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock"
175
-- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]"
176
-- Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL "empty"
177
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
178
-- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL "rdreq"
179
-- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL "wrreq"
180
-- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
181
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
182
-- Retrieval info: CONNECT: @data 0 0 8 0 data 0 0 8 0
183
-- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
184
-- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
185
-- Retrieval info: CONNECT: almost_full 0 0 0 0 @almost_full 0 0 0 0
186
-- Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0
187
-- Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0
188
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1k_core.vhd TRUE
189
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1k_core.inc FALSE
190
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1k_core.cmp FALSE
191
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1k_core.bsf FALSE
192
-- Retrieval info: GEN_FILE: TYPE_NORMAL o8_sync_xmit_fifo_inst.vhd FALSE
193
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1k_core_inst.vhd FALSE
194
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.