OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [intdiv.vhd] - Blame information for rev 318

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 318 jshamlet
-- Copyright (c)2023 Jeremy Seth Henry
2
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23
--
24
-- VHDL units : intdiv
25
-- Description: Performs an integer division operation using a restoring
26
--               algorithm that produces both a quotient and a remainder.
27
--              Note that the Dividend and Divisor have the same bit width.
28
--
29
-- Algorithm:
30
--  1: Initialize registers (Q = Dividend, M = Divisor, R = 0, N = number of bits in dividend)
31
--  2: Shift R & Q (RQ) left by 1
32
--  3: Calculate difference R = R - M
33
--  4: Check the sign of the result.
34
--     If the MSB of RQ (R) is '0' (R >= M), then set the LSB of RQ (Q) to a '1'
35
--     If the MSB of RQ (R) is '1' (M > R) then restore R and set the LSB of Q to '0'
36
--  5: Loop to step 2 while N < Div_Width (Dividend Width)
37
--  6: Assign Q to Quotient and R to Remainder
38
--
39
-- Revision History
40
-- Author          Date     Change
41
------------------ -------- ---------------------------------------------------
42
-- Seth Henry      04/10/23 Initial Design
43
 
44
library ieee;
45
use ieee.std_logic_1164.all;
46
use ieee.std_logic_unsigned.all;
47
 
48
entity intdiv is
49
generic(
50
  Div_Width             : integer   := 16;
51
  Reset_Level           : std_logic := '0'
52
);
53
port(
54
  Clock                 : in  std_logic;
55
  Reset                 : in  std_logic;
56
  --
57
  Enable                : in  std_logic;
58
  Busy                  : out std_logic;
59
  --
60
  Dividend              : in  std_logic_vector(Div_Width - 1 downto 0);
61
  Divisor               : in  std_logic_vector(Div_Width - 1 downto 0);
62
  Quotient              : out std_logic_vector(Div_Width - 1 downto 0);
63
  Remainder             : out std_logic_vector(Div_Width - 1 downto 0)
64
);
65
end entity;
66
 
67
architecture behave of intdiv is
68
 
69
  -- RQ combines R & Q into a single register
70
  signal RQ             : std_logic_vector(Div_Width*2-1 downto 0) :=
71
                           (others => '0');
72
 
73
  alias  R              is RQ(Div_Width*2-1 downto Div_Width);
74
  alias  Q              is RQ(Div_Width-1 downto 0);
75
 
76
  -- Dividend is assumed to be the same width as the Divisor
77
  signal M              : std_logic_vector(Div_Width - 1 downto 0) :=
78
                           (others => '0');
79
 
80
  -- Difference result should be 1 bit larger than the Dividend to allow for
81
  --  a sign bit
82
  signal D              : std_logic_vector(Div_Width downto 0) :=
83
                           (others => '0');
84
 
85
  alias  S              is D(Div_Width);
86
 
87
  constant LZ           : std_logic_vector(Div_Width - 1 downto 0) :=
88
                            (others => '0');
89
 
90
  signal N              : integer range 0 to Div_Width := 0;
91
 
92
begin
93
 
94
  Quotient              <= Q;
95
  Remainder             <= R;
96
 
97
  -- This statement combines the left shift logic with the subtraction.
98
  -- Leading '0's are used to force both arguments to be positive.
99
  D                     <= ('0' & RQ(Div_Width*2-2 downto Div_Width-1)) -
100
                           ('0' & M);
101
 
102
  Divide_proc: process( Clock, Reset )
103
  begin
104
    if( Reset = Reset_Level )then
105
      RQ                <= (others => '0');
106
      M                 <= (others => '0');
107
      N                 <= 0;
108
      Busy              <= '0';
109
    elsif( rising_edge(Clock) )then
110
      Busy              <= '0';
111
 
112
      if( Enable = '1' )then
113
        Busy            <= '1';
114
        N               <= Div_Width;
115
        RQ              <= LZ & Dividend;
116
        M               <= Divisor;
117
      end if;
118
 
119
      if( N > 0 )then
120
        Busy            <= '1';
121
        N               <= N - 1;
122
        -- Leave R set to R-M and set Q[0] to '1'
123
        RQ              <= D(Div_Width-1 downto 0) &  -- New R
124
                           RQ(Div_Width-2 downto 0) & -- Q<<1
125
                           '1';                       -- Q(0) = '1'
126
        if( S = '1' )then
127
          -- Restore R and set Q[0] to '0'
128
          RQ            <= RQ(Div_Width*2-2 downto 0) & '0';
129
        end if;
130
      end if;
131
    end if;
132
  end process;
133
 
134
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.