OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [mavg_buffer_16b.vhd] - Blame information for rev 315

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 315 jshamlet
-- megafunction wizard: %RAM: 2-PORT%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altsyncram 
5
 
6
-- ============================================================
7
-- File Name: mavg_buffer_16b.vhd
8
-- Megafunction Name(s):
9
--                      altsyncram
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 13.1.0 Build 162 10/23/2013 SJ Web Edition
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2013 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.altera_mf_components.all;
41
 
42
ENTITY mavg_buffer_16b IS
43
        PORT
44
        (
45
                clock           : IN STD_LOGIC  := '1';
46
                data            : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
47
                rdaddress               : IN STD_LOGIC_VECTOR (8 DOWNTO 0);
48
                wraddress               : IN STD_LOGIC_VECTOR (8 DOWNTO 0);
49
                wren            : IN STD_LOGIC  := '0';
50
                q               : OUT STD_LOGIC_VECTOR (15 DOWNTO 0)
51
        );
52
END mavg_buffer_16b;
53
 
54
 
55
ARCHITECTURE SYN OF mavg_buffer_16b IS
56
 
57
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (15 DOWNTO 0);
58
 
59
BEGIN
60
        q    <= sub_wire0(15 DOWNTO 0);
61
 
62
        altsyncram_component : altsyncram
63
        GENERIC MAP (
64
                address_aclr_b => "NONE",
65
                address_reg_b => "CLOCK0",
66
                clock_enable_input_a => "BYPASS",
67
                clock_enable_input_b => "BYPASS",
68
                clock_enable_output_b => "BYPASS",
69
                intended_device_family => "Cyclone IV E",
70
                lpm_type => "altsyncram",
71
                numwords_a => 512,
72
                numwords_b => 512,
73
                operation_mode => "DUAL_PORT",
74
                outdata_aclr_b => "NONE",
75
                outdata_reg_b => "UNREGISTERED",
76
                power_up_uninitialized => "FALSE",
77
                read_during_write_mode_mixed_ports => "DONT_CARE",
78
                widthad_a => 9,
79
                widthad_b => 9,
80
                width_a => 16,
81
                width_b => 16,
82
                width_byteena_a => 1
83
        )
84
        PORT MAP (
85
                address_a => wraddress,
86
                clock0 => clock,
87
                data_a => data,
88
                wren_a => wren,
89
                address_b => rdaddress,
90
                q_b => sub_wire0
91
        );
92
 
93
 
94
 
95
END SYN;
96
 
97
-- ============================================================
98
-- CNX file retrieval info
99
-- ============================================================
100
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
101
-- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
102
-- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
103
-- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
104
-- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
105
-- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
106
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
107
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
108
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
109
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
110
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
111
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
112
-- Retrieval info: PRIVATE: CLRdata NUMERIC "0"
113
-- Retrieval info: PRIVATE: CLRq NUMERIC "0"
114
-- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
115
-- Retrieval info: PRIVATE: CLRrren NUMERIC "0"
116
-- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
117
-- Retrieval info: PRIVATE: CLRwren NUMERIC "0"
118
-- Retrieval info: PRIVATE: Clock NUMERIC "0"
119
-- Retrieval info: PRIVATE: Clock_A NUMERIC "0"
120
-- Retrieval info: PRIVATE: Clock_B NUMERIC "0"
121
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
122
-- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
123
-- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0"
124
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B"
125
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
126
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
127
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
128
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
129
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
130
-- Retrieval info: PRIVATE: MEMSIZE NUMERIC "7168"
131
-- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
132
-- Retrieval info: PRIVATE: MIFfilename STRING ""
133
-- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2"
134
-- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
135
-- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
136
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
137
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
138
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
139
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3"
140
-- Retrieval info: PRIVATE: REGdata NUMERIC "1"
141
-- Retrieval info: PRIVATE: REGq NUMERIC "1"
142
-- Retrieval info: PRIVATE: REGrdaddress NUMERIC "1"
143
-- Retrieval info: PRIVATE: REGrren NUMERIC "1"
144
-- Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
145
-- Retrieval info: PRIVATE: REGwren NUMERIC "1"
146
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
147
-- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
148
-- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
149
-- Retrieval info: PRIVATE: VarWidth NUMERIC "0"
150
-- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "16"
151
-- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "16"
152
-- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "16"
153
-- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "16"
154
-- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
155
-- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0"
156
-- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
157
-- Retrieval info: PRIVATE: enable NUMERIC "0"
158
-- Retrieval info: PRIVATE: rden NUMERIC "0"
159
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
160
-- Retrieval info: CONSTANT: ADDRESS_ACLR_B STRING "NONE"
161
-- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0"
162
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
163
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS"
164
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS"
165
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
166
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
167
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "512"
168
-- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "512"
169
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT"
170
-- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
171
-- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
172
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
173
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE"
174
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "9"
175
-- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "9"
176
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "16"
177
-- Retrieval info: CONSTANT: WIDTH_B NUMERIC "16"
178
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
179
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
180
-- Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL "data[15..0]"
181
-- Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL "q[15..0]"
182
-- Retrieval info: USED_PORT: rdaddress 0 0 9 0 INPUT NODEFVAL "rdaddress[8..0]"
183
-- Retrieval info: USED_PORT: wraddress 0 0 9 0 INPUT NODEFVAL "wraddress[8..0]"
184
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT GND "wren"
185
-- Retrieval info: CONNECT: @address_a 0 0 9 0 wraddress 0 0 9 0
186
-- Retrieval info: CONNECT: @address_b 0 0 9 0 rdaddress 0 0 9 0
187
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
188
-- Retrieval info: CONNECT: @data_a 0 0 16 0 data 0 0 16 0
189
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
190
-- Retrieval info: CONNECT: q 0 0 16 0 @q_b 0 0 16 0
191
-- Retrieval info: GEN_FILE: TYPE_NORMAL mavg_buffer_16b.vhd TRUE
192
-- Retrieval info: GEN_FILE: TYPE_NORMAL mavg_buffer_16b.inc FALSE
193
-- Retrieval info: GEN_FILE: TYPE_NORMAL mavg_buffer_16b.cmp FALSE
194
-- Retrieval info: GEN_FILE: TYPE_NORMAL mavg_buffer_16b.bsf FALSE
195
-- Retrieval info: GEN_FILE: TYPE_NORMAL mavg_buffer_16b_inst.vhd FALSE
196
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.