OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_7seg.vhd] - Blame information for rev 284

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 241 jshamlet
-- Copyright (c)2020 Jeremy Seth Henry
2
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23
--
24 284 jshamlet
-- VHDL Units :  o8_7seg
25
-- Description:  Drives up to two 7-segment displays in either common cathode
26
--            :   or common anode mode with per-display PWM brightness.
27 241 jshamlet
--
28
-- Register Map:
29
-- Offset  Bitfield Description                        Read/Write
30
--   0x00  ---AAAAA Display 1 value                       (RW)
31
--   0x01  ---AAAAA Display 2 value                       (RW)
32
--   0x02  AAAAAAAA Display 1 brightness                  (RW)
33
--   0x03  AAAAAAAA Display 2 brightness                  (RW)
34
--
35
-- Revision History
36
-- Author          Date     Change
37
------------------ -------- ---------------------------------------------------
38
-- Seth Henry      05/08/19 Design Start
39 244 jshamlet
-- Seth Henry      05/18/20 Added write qualification input
40 241 jshamlet
 
41
library ieee;
42
  use ieee.std_logic_1164.all;
43
  use ieee.std_logic_unsigned.all;
44
  use ieee.std_logic_arith.all;
45
  use ieee.std_logic_misc.all;
46
 
47
library work;
48
  use work.open8_pkg.all;
49
 
50
entity o8_7seg is
51
generic(
52
  Default_LED1_Value         : std_logic_vector(4 downto 0);
53
  Default_LED1_Bright        : DATA_TYPE := x"FF";
54
  Default_LED2_Value         : std_logic_vector(4 downto 0);
55
  Default_LED2_Bright        : DATA_TYPE := x"FF";
56
  Common_Cathode             : boolean   := TRUE;
57
  Address                    : ADDRESS_TYPE
58
);
59
port(
60
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
61 244 jshamlet
  Write_Qual                 : in  std_logic := '1';
62 241 jshamlet
  Rd_Data                    : out DATA_TYPE;
63
  --
64
  SegLED1                    : out std_logic_vector(6 downto  0);
65
  SegLED2                    : out std_logic_vector(6 downto  0)
66
);
67
end entity;
68
 
69
architecture behave of o8_7seg is
70
 
71
  alias Clock                is Open8_Bus.Clock;
72
  alias Reset                is Open8_Bus.Reset;
73
 
74
  constant User_Addr         : std_logic_vector(15 downto 2)
75
                               := Address(15 downto 2);
76
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 2);
77
  signal Addr_Match          : std_logic;
78
 
79 244 jshamlet
  alias  Reg_Sel_d           is Open8_Bus.Address(1 downto 0);
80
  signal Reg_Sel_q           : std_logic_vector(1 downto 0) := "00";
81
  signal Wr_En_d             : std_logic := '0';
82
  signal Wr_En_q             : std_logic := '0';
83
  alias  Wr_Data_d           is Open8_Bus.Wr_Data;
84
  signal Wr_Data_q           : DATA_TYPE := x"00";
85
  signal Rd_En_d             : std_logic := '0';
86
  signal Rd_En_q             : std_logic := '0';
87
 
88 241 jshamlet
  signal LED1_Reg            : std_logic_vector(4 downto 0);
89
  signal LED1_Brt            : DATA_TYPE;
90
  signal LED2_Reg            : std_logic_vector(4 downto 0);
91
  signal LED2_Brt            : DATA_TYPE;
92
 
93
 
94
  signal LED1_PDM            : std_logic;
95
  signal LED1_Ext            : std_logic_vector(6 downto  0);
96
 
97
  signal LED2_PDM            : std_logic;
98
  signal LED2_Ext            : std_logic_vector(6 downto  0);
99
 
100
  signal SegLED1_Full        : std_logic_vector(6 downto  0);
101
  signal SegLED2_Full        : std_logic_vector(6 downto  0);
102
 
103
-- Standard 7-Segment Numeric Display
104
--
105
--    -A-
106
--  |     |
107
--  F     B
108
--  |     |
109
--    -G-
110
--  |     |
111
--  E     C
112
--  |     |
113
--    -D-  (DP)
114
 
115
  type LED_DEFS_TYPE is array(0 to 31) of std_logic_vector(6 downto 0);
116
  constant CHAR_DEFINITIONS  : LED_DEFS_TYPE := (
117
--                                GFEDCBA
118
                                 "0111111",  -- 00 -> 0
119
                                 "0000110",  -- 01 -> 1
120
                                 "1011011",  -- 02 -> 2
121
                                 "1001111",  -- 03 -> 3
122
                                 "1100110",  -- 04 -> 4
123
                                 "1101101",  -- 05 -> 5
124
                                 "1111101",  -- 06 -> 6
125
                                 "0000111",  -- 07 -> 7
126
                                 "1111111",  -- 08 -> 8
127
                                 "1101111",  -- 09 -> 9
128
                                 "1110111",  -- 10 -> A
129
                                 "1111100",  -- 11 -> B
130
                                 "1011000",  -- 12 -> C
131
                                 "1011110",  -- 13 -> D
132
                                 "1111001",  -- 14 -> E
133
                                 "1110001",  -- 15 -> F
134
                                 "0111101",  -- 16 -> G
135
                                 "1110110",  -- 17 -> H
136
                                 "0000100",  -- 18 -> i
137
                                 "0001110",  -- 19 -> J
138
                                 "0111000",  -- 20 -> L
139
                                 "1010100",  -- 21 -> n
140
                                 "1011100",  -- 22 -> o
141
                                 "1110011",  -- 23 -> P
142
                                 "1010000",  -- 24 -> r
143
                                 "0011100",  -- 25 -> u
144
                                 "1101110",  -- 26 -> y
145
                                 "1000000",  -- 27 -> -
146
                                 "1001000",  -- 28 -> =
147
                                 "1100011",  -- 29 -> DEG
148
                                 "0000010",  -- 30 -> '
149
                                 "0000000"   -- 31 -> " "
150
                                 );
151
 
152
begin
153
 
154
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
155 244 jshamlet
  Wr_En_d                    <= Addr_Match and Open8_Bus.Wr_En and Write_Qual;
156
  Rd_En_d                    <= Addr_Match and Open8_Bus.Rd_En;
157 241 jshamlet
 
158
  io_reg: process( Clock, Reset )
159
  begin
160
    if( Reset = Reset_Level )then
161 244 jshamlet
      Reg_Sel_q              <= "00";
162
      Wr_En_q                <= '0';
163 241 jshamlet
      Wr_Data_q              <= x"00";
164 244 jshamlet
      Rd_En_q                <= '0';
165
      Rd_Data                <= OPEN8_NULLBUS;
166
 
167 241 jshamlet
      LED1_Reg               <= Default_LED1_Value;
168
      LED2_Reg               <= Default_LED2_Value;
169
      LED1_Brt               <= Default_LED1_Bright;
170
      LED2_Brt               <= Default_LED2_Bright;
171
    elsif( rising_edge( Clock ) )then
172 244 jshamlet
      Reg_Sel_q              <= Reg_Sel_d;
173 241 jshamlet
 
174 244 jshamlet
      Wr_En_q                <= Wr_En_d;
175
      Wr_Data_q              <= Wr_Data_d;
176
      if( Wr_En_q = '1' )then
177
        case( Reg_Sel_q )is
178 241 jshamlet
          when "00" =>
179
            LED1_Reg         <= Wr_Data_q(4 downto 0);
180
          when "01" =>
181
            LED2_Reg         <= Wr_Data_q(4 downto 0);
182
          when "10" =>
183
            LED1_Brt         <= Wr_Data_q;
184
          when "11" =>
185
            LED2_Brt         <= Wr_Data_q;
186
          when others =>
187
            null;
188
        end case;
189
      end if;
190
 
191 244 jshamlet
      Rd_En_q                <= Rd_En_d;
192 241 jshamlet
      Rd_Data                <= OPEN8_NULLBUS;
193 257 jshamlet
      if( Rd_En_q = '1' )then
194 244 jshamlet
        case( Reg_Sel_q )is
195 241 jshamlet
          when "00" =>
196
            Rd_Data          <= "000" & LED1_Reg;
197
          when "01" =>
198
            Rd_Data          <= "000" & LED2_Reg;
199
          when "10" =>
200
            Rd_Data          <= LED1_Brt;
201
          when "11" =>
202
            Rd_Data          <= LED2_Brt;
203
          when others =>
204
            null;
205
        end case;
206
      end if;
207
    end if;
208
  end process;
209
 
210
  U_LED1_PWM : entity work.vdsm8
211
  generic map(
212
    Reset_Level              => Reset_Level
213
  )
214
  port map(
215
    Clock                    => Clock,
216
    Reset                    => Reset,
217
    DACin                    => LED1_Brt,
218
    DACout                   => LED1_PDM
219
  );
220
 
221
  U_LED2_PWM : entity work.vdsm8
222
  generic map(
223
    Reset_Level              => Reset_Level
224
  )
225
  port map(
226
    Clock                    => Clock,
227
    Reset                    => Reset,
228
    DACin                    => LED2_Brt,
229
    DACout                   => LED2_PDM
230
  );
231
 
232
  LED1_Ext                   <= (others => LED1_PDM);
233
  LED2_Ext                   <= (others => LED2_PDM);
234
 
235
  SegLED1_Full               <= CHAR_DEFINITIONS(conv_integer(LED1_Reg));
236
  SegLED2_Full               <= CHAR_DEFINITIONS(conv_integer(LED2_Reg));
237
 
238
Common_Cathode_Mode : if( Common_Cathode )generate
239
 
240
  LUT_proc: process( Clock, Reset )
241
  begin
242
    if( Reset = Reset_Level )then
243
      SegLED1                <= (others => '0');
244
      SegLED2                <= (others => '0');
245
    elsif( rising_edge(Clock) )then
246
      SegLED1                <= (SegLED1_Full and LED1_Ext) xor "1111111";
247
      SegLED2                <= (SegLED2_Full and LED2_Ext) xor "1111111";
248
    end if;
249
  end process;
250
 
251
end generate;
252
 
253
Common_Anode_Mode : if( not Common_Cathode )generate
254
 
255
  LUT_proc: process( Clock, Reset )
256
  begin
257
    if( Reset = Reset_Level )then
258
      SegLED1                <= (others => '1');
259
      SegLED2                <= (others => '1');
260
    elsif( rising_edge(Clock) )then
261
      SegLED1                <= (SegLED1_Full and LED1_Ext);
262
      SegLED2                <= (SegLED2_Full and LED2_Ext);
263
    end if;
264
  end process;
265
 
266
 
267
end generate;
268
 
269
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.