OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_max7221.vhd] - Blame information for rev 317

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 213 jshamlet
-- Copyright (c)2020 Jeremy Seth Henry
2 194 jshamlet
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23
--
24
-- VHDL Units :  o8_max7221
25
-- Description:  Provides a memory mapped SPI interface to the max7221 LED
26
--                controller/driver.
27 213 jshamlet
--
28
-- Revision History
29
-- Author          Date     Change
30
------------------ -------- ---------------------------------------------------
31
-- Seth Henry      01/22/20 Design Start
32 224 jshamlet
-- Seth Henry      04/16/20 Modified to use Open8 bus record
33 244 jshamlet
-- Seth Henry      05/18/20 Added write qualification input
34 194 jshamlet
 
35 191 jshamlet
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.std_logic_unsigned.all;
38
use ieee.std_logic_arith.all;
39
use ieee.std_logic_misc.all;
40
 
41
library work;
42
  use work.open8_pkg.all;
43
 
44
entity o8_max7221 is
45
generic(
46 224 jshamlet
  Bitclock_Frequency         : real := 5000000.0;
47
  Clock_Frequency            : real;
48 217 jshamlet
  Address                    : ADDRESS_TYPE
49 191 jshamlet
);
50
port(
51 223 jshamlet
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
52 244 jshamlet
  Write_Qual                 : in  std_logic := '1';
53 191 jshamlet
  --
54 217 jshamlet
  Mx_Data                    : out std_logic;
55
  Mx_Clock                   : out std_logic;
56
  MX_LDCSn                   : out std_logic
57 191 jshamlet
);
58
end entity;
59
 
60
architecture behave of o8_max7221 is
61
 
62 224 jshamlet
  alias Clock                is Open8_Bus.Clock;
63
  alias Reset                is Open8_Bus.Reset;
64
 
65 217 jshamlet
  signal FIFO_Reset          : std_logic;
66 191 jshamlet
 
67 217 jshamlet
  constant User_Addr         : std_logic_vector(15 downto 4) :=
68
                                 Address(15 downto 4);
69 223 jshamlet
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 4);
70 244 jshamlet
  signal Addr_Match          : std_logic := '0';
71 191 jshamlet
 
72 244 jshamlet
  signal FIFO_Wr_En          : std_logic := '0';
73
  signal FIFO_Wr_Data        : std_logic_vector(11 downto 0) :=
74
                                (others => '0');
75 191 jshamlet
 
76 244 jshamlet
  signal FIFO_Rd_En          : std_logic := '0';
77
  signal FIFO_Empty          : std_logic := '0';
78
  signal FIFO_Rd_Data        : std_logic_vector(11 downto 0) :=
79
                                (others => '0');
80 191 jshamlet
 
81
  type TX_CTRL_STATES is (IDLE, TX_BYTE, TX_START, TX_WAIT );
82 244 jshamlet
  signal TX_Ctrl             : TX_CTRL_STATES := IDLE;
83 191 jshamlet
 
84 244 jshamlet
  signal TX_En               : std_logic := '0';
85
  signal TX_Idle             : std_logic := '0';
86 191 jshamlet
 
87 224 jshamlet
  constant BAUD_DLY_RATIO    : real := (Clock_Frequency / Bitclock_Frequency);
88
  constant BAUD_DLY_VAL      : integer := integer(BAUD_DLY_RATIO * 0.5);
89 217 jshamlet
  constant BAUD_DLY_WDT      : integer := ceil_log2(BAUD_DLY_VAL - 1);
90
  constant BAUD_DLY          : std_logic_vector :=
91
                         conv_std_logic_vector(BAUD_DLY_VAL - 1, BAUD_DLY_WDT);
92 191 jshamlet
 
93 217 jshamlet
  signal Baud_Cntr           : std_logic_vector( BAUD_DLY_WDT - 1 downto 0 )
94
                               := (others => '0');
95 244 jshamlet
  signal Baud_Tick           : std_logic := '0';
96 191 jshamlet
 
97
  type IO_STATES is ( IDLE, SYNC_CLK, SCLK_L, SCLK_H, ADV_BIT, DONE );
98 244 jshamlet
  signal io_state            : IO_STATES := IDLE;
99
  signal bit_cntr            : std_logic_vector(3 downto 0) := (others => '0');
100
  signal tx_buffer           : std_logic_vector(15 downto 0) :=
101
                                (others => '0');
102 191 jshamlet
 
103
begin
104
 
105 244 jshamlet
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
106 223 jshamlet
 
107 244 jshamlet
  FIFO_Wr_En                 <= Addr_Match and Open8_Bus.Wr_En and Write_Qual;
108
 
109 223 jshamlet
  FIFO_Wr_Data               <= Open8_Bus.Address(3 downto 0) &
110
                                Open8_Bus.Wr_Data;
111
 
112 217 jshamlet
  FIFO_Reset                 <= Reset when Reset_Level = '1' else (not Reset);
113 191 jshamlet
 
114
  U_FIFO : entity work.o8_max7221_fifo
115
  port map(
116 217 jshamlet
    aclr                     => FIFO_Reset,
117
    clock                    => Clock,
118
    data                     => FIFO_Wr_Data,
119
    rdreq                    => FIFO_Rd_En,
120
    wrreq                    => FIFO_Wr_En,
121
    empty                    => FIFO_Empty,
122
    q                        => FIFO_Rd_Data
123 191 jshamlet
  );
124
 
125
  tx_FSM: process( Clock, Reset )
126
  begin
127
    if( Reset = Reset_Level )then
128 217 jshamlet
      TX_Ctrl                <= IDLE;
129
      TX_En                  <= '0';
130
      FIFO_Rd_En             <= '0';
131 191 jshamlet
    elsif( rising_edge(Clock) )then
132 217 jshamlet
      TX_En                  <= '0';
133
      FIFO_Rd_En             <= '0';
134 191 jshamlet
 
135
      case( TX_Ctrl )is
136
        when IDLE =>
137
          if( FIFO_Empty = '0' )then
138 217 jshamlet
            FIFO_Rd_En       <= '1';
139
            TX_Ctrl          <= TX_BYTE;
140 191 jshamlet
          end if;
141
 
142
        when TX_BYTE =>
143 217 jshamlet
          TX_En              <= '1';
144
          TX_Ctrl            <= TX_START;
145 191 jshamlet
 
146
        when TX_START =>
147
          if( TX_Idle = '0' )then
148 217 jshamlet
            TX_Ctrl          <= TX_WAIT;
149 191 jshamlet
          end if;
150
 
151
        when TX_WAIT =>
152
          if( TX_Idle = '1' )then
153 217 jshamlet
            TX_Ctrl          <= IDLE;
154 191 jshamlet
          end if;
155
 
156
        when others => null;
157
      end case;
158
 
159
    end if;
160
  end process;
161
 
162
  Baud_Rate_proc: process( Clock, Reset )
163
  begin
164
    if( Reset = Reset_Level )then
165 217 jshamlet
      Baud_Cntr              <= (others => '0');
166
      Baud_Tick              <= '0';
167 191 jshamlet
    elsif( rising_edge( Clock ) )then
168 217 jshamlet
      Baud_Cntr              <= Baud_Cntr - 1;
169
      Baud_Tick              <= nor_reduce(Baud_Cntr);
170 191 jshamlet
      if( Baud_Cntr = 0 )then
171 217 jshamlet
        Baud_Cntr            <= BAUD_DLY;
172 191 jshamlet
      end if;
173
    end if;
174
  end process;
175
 
176
  io_FSM: process( Clock, Reset )
177
  begin
178
    if( Reset = Reset_Level )then
179 217 jshamlet
      io_state               <= IDLE;
180
      bit_cntr               <= (others => '0');
181
      tx_buffer              <= (others => '0');
182
      TX_Idle                <= '0';
183 191 jshamlet
 
184 217 jshamlet
      Mx_Clock               <= '0';
185
      Mx_Data                <= '0';
186
      MX_LDCSn               <= '0';
187 191 jshamlet
 
188
    elsif( rising_edge(Clock) )then
189
 
190 217 jshamlet
      TX_Idle                <= '0';
191
      Mx_Clock               <= '0';
192 191 jshamlet
 
193
      case( io_state )is
194
        when IDLE =>
195 217 jshamlet
          Mx_Data            <= '0';
196
          MX_LDCSn           <= '1';
197
          TX_Idle            <= '1';
198 191 jshamlet
          if( TX_En = '1' )then
199 217 jshamlet
            tx_buffer        <= "0000" & FIFO_Rd_Data;
200
            bit_cntr         <= (others => '1');
201
            io_state         <= SYNC_CLK;
202 191 jshamlet
          end if;
203
 
204
        when SYNC_CLK =>
205
          if( Baud_Tick = '1' )then
206 217 jshamlet
            io_state         <= SCLK_L;
207 191 jshamlet
          end if;
208
 
209
        when SCLK_L =>
210 217 jshamlet
          MX_LDCSn           <= '0';
211
          Mx_Data            <= tx_buffer(conv_integer(bit_cntr));
212 191 jshamlet
          if( Baud_Tick = '1' )then
213 217 jshamlet
            io_state         <= SCLK_H;
214 191 jshamlet
          end if;
215
 
216
        when SCLK_H =>
217 217 jshamlet
          Mx_Clock           <= '1';
218 191 jshamlet
          if( Baud_Tick = '1' )then
219 217 jshamlet
            bit_cntr         <= bit_cntr - 1;
220
            io_state         <= ADV_BIT;
221 191 jshamlet
          end if;
222
 
223
        when ADV_BIT =>
224 217 jshamlet
          io_state           <= SCLK_L;
225 191 jshamlet
          if( and_reduce(bit_cntr) = '1' )then
226 217 jshamlet
            io_state         <= DONE;
227 191 jshamlet
          end if;
228
 
229
        when DONE =>
230 217 jshamlet
          Mx_Data            <= '0';
231 191 jshamlet
          if( Baud_Tick = '1' )then
232 217 jshamlet
            io_state         <= IDLE;
233 191 jshamlet
          end if;
234
 
235
        when others => null;
236
      end case;
237
    end if;
238
  end process;
239
 
240
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.