OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_max7221_fifo.vhd] - Blame information for rev 317

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 191 jshamlet
-- megafunction wizard: %FIFO%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: scfifo 
5
 
6
-- ============================================================
7
-- File Name: o8_max7221_fifo.vhd
8
-- Megafunction Name(s):
9
--                      scfifo
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 13.1.0 Build 162 10/23/2013 SJ Full Version
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2013 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.all;
41
 
42
ENTITY o8_max7221_fifo IS
43
        PORT
44
        (
45
                aclr            : IN STD_LOGIC ;
46
                clock           : IN STD_LOGIC ;
47
                data            : IN STD_LOGIC_VECTOR (11 DOWNTO 0);
48
                rdreq           : IN STD_LOGIC ;
49
                wrreq           : IN STD_LOGIC ;
50
                empty           : OUT STD_LOGIC ;
51
                q               : OUT STD_LOGIC_VECTOR (11 DOWNTO 0)
52
        );
53
END o8_max7221_fifo;
54
 
55
 
56
ARCHITECTURE SYN OF o8_max7221_fifo IS
57
 
58
        SIGNAL sub_wire0        : STD_LOGIC ;
59
        SIGNAL sub_wire1        : STD_LOGIC_VECTOR (11 DOWNTO 0);
60
 
61
 
62
 
63
        COMPONENT scfifo
64
        GENERIC (
65
                add_ram_output_register         : STRING;
66
                intended_device_family          : STRING;
67
                lpm_numwords            : NATURAL;
68
                lpm_showahead           : STRING;
69
                lpm_type                : STRING;
70
                lpm_width               : NATURAL;
71
                lpm_widthu              : NATURAL;
72
                overflow_checking               : STRING;
73
                underflow_checking              : STRING;
74
                use_eab         : STRING
75
        );
76
        PORT (
77
                        aclr    : IN STD_LOGIC ;
78
                        clock   : IN STD_LOGIC ;
79
                        data    : IN STD_LOGIC_VECTOR (11 DOWNTO 0);
80
                        rdreq   : IN STD_LOGIC ;
81
                        empty   : OUT STD_LOGIC ;
82
                        q       : OUT STD_LOGIC_VECTOR (11 DOWNTO 0);
83
                        wrreq   : IN STD_LOGIC
84
        );
85
        END COMPONENT;
86
 
87
BEGIN
88
        empty    <= sub_wire0;
89
        q    <= sub_wire1(11 DOWNTO 0);
90
 
91
        scfifo_component : scfifo
92
        GENERIC MAP (
93
                add_ram_output_register => "OFF",
94
                intended_device_family => "Cyclone IV GX",
95
                lpm_numwords => 512,
96
                lpm_showahead => "OFF",
97
                lpm_type => "scfifo",
98
                lpm_width => 12,
99
                lpm_widthu => 9,
100
                overflow_checking => "ON",
101
                underflow_checking => "ON",
102
                use_eab => "ON"
103
        )
104
        PORT MAP (
105
                aclr => aclr,
106
                clock => clock,
107
                data => data,
108
                rdreq => rdreq,
109
                wrreq => wrreq,
110
                empty => sub_wire0,
111
                q => sub_wire1
112
        );
113
 
114
 
115
 
116
END SYN;
117
 
118
-- ============================================================
119
-- CNX file retrieval info
120
-- ============================================================
121
-- Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
122
-- Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
123
-- Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
124
-- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
125
-- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "1"
126
-- Retrieval info: PRIVATE: Clock NUMERIC "0"
127
-- Retrieval info: PRIVATE: Depth NUMERIC "512"
128
-- Retrieval info: PRIVATE: Empty NUMERIC "1"
129
-- Retrieval info: PRIVATE: Full NUMERIC "0"
130
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX"
131
-- Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
132
-- Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
133
-- Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
134
-- Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
135
-- Retrieval info: PRIVATE: Optimize NUMERIC "2"
136
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
137
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
138
-- Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
139
-- Retrieval info: PRIVATE: UsedW NUMERIC "0"
140
-- Retrieval info: PRIVATE: Width NUMERIC "12"
141
-- Retrieval info: PRIVATE: dc_aclr NUMERIC "0"
142
-- Retrieval info: PRIVATE: diff_widths NUMERIC "0"
143
-- Retrieval info: PRIVATE: msb_usedw NUMERIC "0"
144
-- Retrieval info: PRIVATE: output_width NUMERIC "12"
145
-- Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
146
-- Retrieval info: PRIVATE: rsFull NUMERIC "0"
147
-- Retrieval info: PRIVATE: rsUsedW NUMERIC "0"
148
-- Retrieval info: PRIVATE: sc_aclr NUMERIC "1"
149
-- Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
150
-- Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
151
-- Retrieval info: PRIVATE: wsFull NUMERIC "1"
152
-- Retrieval info: PRIVATE: wsUsedW NUMERIC "0"
153
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
154
-- Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"
155
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX"
156
-- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "512"
157
-- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
158
-- Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo"
159
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "12"
160
-- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "9"
161
-- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
162
-- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
163
-- Retrieval info: CONSTANT: USE_EAB STRING "ON"
164
-- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL "aclr"
165
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock"
166
-- Retrieval info: USED_PORT: data 0 0 12 0 INPUT NODEFVAL "data[11..0]"
167
-- Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL "empty"
168
-- Retrieval info: USED_PORT: q 0 0 12 0 OUTPUT NODEFVAL "q[11..0]"
169
-- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL "rdreq"
170
-- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL "wrreq"
171
-- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
172
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
173
-- Retrieval info: CONNECT: @data 0 0 12 0 data 0 0 12 0
174
-- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
175
-- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
176
-- Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0
177
-- Retrieval info: CONNECT: q 0 0 12 0 @q 0 0 12 0
178
-- Retrieval info: GEN_FILE: TYPE_NORMAL o8_max7221_fifo.vhd TRUE
179
-- Retrieval info: GEN_FILE: TYPE_NORMAL o8_max7221_fifo.inc FALSE
180
-- Retrieval info: GEN_FILE: TYPE_NORMAL o8_max7221_fifo.cmp FALSE
181
-- Retrieval info: GEN_FILE: TYPE_NORMAL o8_max7221_fifo.bsf FALSE
182
-- Retrieval info: GEN_FILE: TYPE_NORMAL o8_max7221_fifo_inst.vhd FALSE
183
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.