OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_pwm_adc_ram.vhd] - Blame information for rev 317

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 241 jshamlet
-- megafunction wizard: %RAM: 1-PORT%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altsyncram 
5
 
6
-- ============================================================
7
-- File Name: o8_pwm_adc_ram.vhd
8
-- Megafunction Name(s):
9
--                      altsyncram
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 13.1.0 Build 162 10/23/2013 SJ Web Edition
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2013 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.altera_mf_components.all;
41
 
42
ENTITY o8_pwm_adc_ram IS
43
        PORT
44
        (
45
                address         : IN STD_LOGIC_VECTOR (9 DOWNTO 0);
46
                clock           : IN STD_LOGIC  := '1';
47
                data            : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
48
                wren            : IN STD_LOGIC ;
49
                q               : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
50
        );
51
END o8_pwm_adc_ram;
52
 
53
 
54
ARCHITECTURE SYN OF o8_pwm_adc_ram IS
55
 
56
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (7 DOWNTO 0);
57
 
58
BEGIN
59
        q    <= sub_wire0(7 DOWNTO 0);
60
 
61
        altsyncram_component : altsyncram
62
        GENERIC MAP (
63
                clock_enable_input_a => "BYPASS",
64
                clock_enable_output_a => "BYPASS",
65
                intended_device_family => "Cyclone III",
66
                lpm_hint => "ENABLE_RUNTIME_MOD=NO",
67
                lpm_type => "altsyncram",
68
                numwords_a => 1024,
69
                operation_mode => "SINGLE_PORT",
70
                outdata_aclr_a => "NONE",
71
                outdata_reg_a => "UNREGISTERED",
72
                power_up_uninitialized => "FALSE",
73
                read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ",
74
                widthad_a => 10,
75
                width_a => 8,
76
                width_byteena_a => 1
77
        )
78
        PORT MAP (
79
                address_a => address,
80
                clock0 => clock,
81
                data_a => data,
82
                wren_a => wren,
83
                q_a => sub_wire0
84
        );
85
 
86
 
87
 
88
END SYN;
89
 
90
-- ============================================================
91
-- CNX file retrieval info
92
-- ============================================================
93
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
94
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
95
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
96
-- Retrieval info: PRIVATE: AclrData NUMERIC "0"
97
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
98
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
99
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
100
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
101
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
102
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
103
-- Retrieval info: PRIVATE: Clken NUMERIC "0"
104
-- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
105
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
106
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
107
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
108
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
109
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
110
-- Retrieval info: PRIVATE: JTAG_ID STRING "LRAM"
111
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
112
-- Retrieval info: PRIVATE: MIFfilename STRING ""
113
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "1024"
114
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
115
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
116
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
117
-- Retrieval info: PRIVATE: RegData NUMERIC "1"
118
-- Retrieval info: PRIVATE: RegOutput NUMERIC "0"
119
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
120
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
121
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
122
-- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
123
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "10"
124
-- Retrieval info: PRIVATE: WidthData NUMERIC "8"
125
-- Retrieval info: PRIVATE: rden NUMERIC "0"
126
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
127
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
128
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
129
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
130
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
131
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
132
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "1024"
133
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
134
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
135
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
136
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
137
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
138
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "10"
139
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
140
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
141
-- Retrieval info: USED_PORT: address 0 0 10 0 INPUT NODEFVAL "address[9..0]"
142
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
143
-- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]"
144
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
145
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren"
146
-- Retrieval info: CONNECT: @address_a 0 0 10 0 address 0 0 10 0
147
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
148
-- Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0
149
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
150
-- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
151
-- Retrieval info: GEN_FILE: TYPE_NORMAL o8_pwm_adc_ram.vhd TRUE
152
-- Retrieval info: GEN_FILE: TYPE_NORMAL o8_pwm_adc_ram.inc FALSE
153
-- Retrieval info: GEN_FILE: TYPE_NORMAL o8_pwm_adc_ram.cmp FALSE
154
-- Retrieval info: GEN_FILE: TYPE_NORMAL o8_pwm_adc_ram.bsf FALSE
155
-- Retrieval info: GEN_FILE: TYPE_NORMAL o8_pwm_adc_ram_inst.vhd FALSE
156
-- Retrieval info: GEN_FILE: TYPE_NORMAL o8_pwm_adc_ram_waveforms.html FALSE
157
-- Retrieval info: GEN_FILE: TYPE_NORMAL o8_pwm_adc_ram_wave*.jpg FALSE
158
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.