OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_ram_4k.vhd] - Blame information for rev 259

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 242 jshamlet
-- Copyright (c)2013, 2020 Jeremy Seth Henry
2
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23
--
24
-- VHDL Units :  o8_ram_4k
25
-- Description:  Provides a wrapper layer for a 4kx8 RAM model with interface
26
--            :   logic for the Open8 CPU. Also provides an optional write
27
--            :   enable register that prevents regions from being written
28
--            :   by non-ISR code (uses the I flag) as a way to prevent tasks
29
--            :   from inadvertently writing outside of their designated
30
--            :   memory space.
31
--            :  When enabled, the write mask logically divides the memory into
32
--            :   32, 128 byte regions, corresponding to the 32 bits in the WPR
33
--            :   register.
34
--
35
-- WP Register Map:
36
-- Offset  Bitfield Description                        Read/Write
37
--   0x00  AAAAAAAA Region Enables  7:0                  (RW)
38
--   0x01  AAAAAAAA Region Enables 15:8                  (RW)
39
--   0x02  AAAAAAAA Region Enables 23:16                 (RW)
40
--   0x03  AAAAAAAA Region Enables 31:24                 (RW)
41
--
42
-- Revision History
43
-- Author          Date     Change
44
------------------ -------- ---------------------------------------------------
45
-- Seth Henry      04/16/20 Revision block added
46
-- Seth Henry      05/12/20 Added write protect logic
47
 
48
library ieee;
49
use ieee.std_logic_1164.all;
50
use ieee.std_logic_unsigned.all;
51
use ieee.std_logic_arith.all;
52
 
53
library work;
54
  use work.open8_pkg.all;
55
 
56
entity o8_ram_4k is
57
generic(
58
  Write_Protect              : boolean := FALSE;
59
  Default_Mask               : std_logic_vector(31 downto 0) := x"00000000";
60
  Address_WPR                : ADDRESS_TYPE := x"1000";
61
  Address_RAM                : ADDRESS_TYPE
62
);
63
port(
64
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
65 251 jshamlet
  Rd_Data                    : out DATA_TYPE;
66
  Write_Fault                : out std_logic
67 242 jshamlet
);
68
end entity;
69
 
70
architecture behave of o8_ram_4k is
71
 
72
  alias  Clock               is Open8_Bus.Clock;
73
  alias  Reset               is Open8_Bus.Reset;
74
  alias  ISR_En              is Open8_Bus.GP_Flags(EXT_ISR);
75
  alias  Wr_En               is Open8_Bus.Wr_En;
76
  alias  Rd_En               is Open8_Bus.Rd_En;
77
 
78
  constant WPR_User_Addr     : std_logic_vector(15 downto 2)
79
                               := Address_WPR(15 downto 2);
80
 
81
  constant RAM_User_Addr     : std_logic_vector(15 downto 12)
82
                               := Address_RAM(15 downto 12);
83
 
84
  alias  WPR_Comp_Addr       is Open8_Bus.Address(15 downto 2);
85
  signal WPR_Addr_Match      : std_logic := '0';
86
 
87
  alias  WPR_Reg_Sel_d       is Open8_Bus.Address(1 downto 0);
88 244 jshamlet
  signal WPR_Reg_Sel_q       : std_logic_vector(1 downto 0) :=
89 242 jshamlet
                                (others => '0');
90
 
91
  alias  Wr_Data_d           is Open8_Bus.Wr_Data;
92 244 jshamlet
  signal WPR_Wr_Data_q       : DATA_TYPE := x"00";
93 242 jshamlet
 
94
  signal Write_Mask          : std_logic_vector(31 downto 0) :=
95
                                x"00000000";
96
  alias  Write_Mask_0        is Write_Mask( 7 downto  0);
97
  alias  Write_Mask_1        is Write_Mask(15 downto  8);
98
  alias  Write_Mask_2        is Write_Mask(23 downto 16);
99
  alias  Write_Mask_3        is Write_Mask(31 downto 24);
100
 
101
  signal WPR_Wr_En_d         : std_logic := '0';
102 244 jshamlet
  signal WPR_Wr_En_q         : std_logic := '0';
103 242 jshamlet
  signal WPR_Rd_En_d         : std_logic := '0';
104 244 jshamlet
  signal WPR_Rd_En_q         : std_logic := '0';
105 242 jshamlet
 
106
  alias  RAM_Base_Addr       is Open8_Bus.Address(15 downto 12);
107
  alias  RAM_Addr            is Open8_Bus.Address(11 downto 0);
108
 
109
  alias  RAM_Rgn_Addr        is Open8_Bus.Address(11 downto 7);
110
 
111
  signal RAM_Region_Match    : std_logic := '0';
112
  signal RAM_Addr_Match      : std_logic := '0';
113
 
114 244 jshamlet
  signal RAM_Wr_En_d         : std_logic := '0';
115
  signal RAM_Rd_En_d         : std_logic := '0';
116
  signal RAM_Rd_En_q         : std_logic := '0';
117 242 jshamlet
  signal RAM_Rd_Data         : DATA_TYPE := OPEN8_NULLBUS;
118
 
119 251 jshamlet
  signal Write_Fault_d       : std_logic := '0';
120
 
121 242 jshamlet
begin
122
 
123
Write_Protect_On : if( Write_Protect )generate
124
 
125
  WPR_Addr_Match             <= '1' when WPR_Comp_Addr = WPR_User_Addr else '0';
126 244 jshamlet
  WPR_Wr_En_d                <= WPR_Addr_Match and Wr_En and ISR_En;
127
  WPR_Rd_En_d                <= WPR_Addr_Match and Rd_En;
128 242 jshamlet
 
129
  RAM_Addr_Match             <= '1' when RAM_Base_Addr = RAM_User_Addr else '0';
130
 
131
  RAM_Region_Match           <= Write_Mask(conv_integer(RAM_Rgn_Addr)) or
132
                                ISR_En;
133
 
134 244 jshamlet
  RAM_Rd_En_d                <= RAM_Addr_Match and Rd_En;
135
  RAM_Wr_En_d                <= RAM_Addr_Match and RAM_Region_Match and Wr_En;
136 242 jshamlet
 
137 251 jshamlet
  Write_Fault_d              <= RAM_Addr_Match and (not RAM_Region_Match) and Wr_En;
138
 
139 242 jshamlet
  RAM_proc: process( Reset, Clock )
140
  begin
141
    if( Reset = Reset_Level )then
142
 
143 244 jshamlet
      WPR_Reg_Sel_q          <= (others => '0');
144
      WPR_Wr_Data_q          <= x"00";
145 242 jshamlet
 
146 244 jshamlet
      WPR_Wr_En_q            <= '0';
147
      WPR_Rd_En_q            <= '0';
148 242 jshamlet
 
149 244 jshamlet
      Write_Mask             <= Default_Mask;
150
 
151
      RAM_Rd_En_q            <= '0';
152 242 jshamlet
      Rd_Data                <= OPEN8_NULLBUS;
153 251 jshamlet
 
154
      Write_Fault            <= '0';
155
 
156 242 jshamlet
    elsif( rising_edge(Clock) )then
157 244 jshamlet
      WPR_Reg_Sel_q          <= WPR_Reg_Sel_d;
158 242 jshamlet
 
159 244 jshamlet
      WPR_Wr_En_q            <= WPR_Wr_En_d;
160
      WPR_Wr_Data_q          <= Wr_Data_d;
161
      if( WPR_Wr_En_q = '1' )then
162
        case( WPR_Reg_Sel_q )is
163 242 jshamlet
          when "00" =>
164 244 jshamlet
            Write_Mask_0     <= WPR_Wr_Data_q;
165 242 jshamlet
          when "01" =>
166 244 jshamlet
            Write_Mask_1     <= WPR_Wr_Data_q;
167 242 jshamlet
          when "10" =>
168 244 jshamlet
            Write_Mask_2     <= WPR_Wr_Data_q;
169 242 jshamlet
          when "11" =>
170 244 jshamlet
            Write_Mask_3     <= WPR_Wr_Data_q;
171 242 jshamlet
          when others =>
172
            null;
173
        end case;
174
      end if;
175
 
176 244 jshamlet
      WPR_Rd_En_q            <= WPR_Rd_En_d;
177
      RAM_Rd_En_q            <= RAM_Rd_En_d;
178 243 jshamlet
 
179 242 jshamlet
      Rd_Data                <= OPEN8_NULLBUS;
180 244 jshamlet
      if( RAM_Rd_En_q = '1' )then
181 243 jshamlet
        Rd_Data              <= RAM_Rd_Data;
182 244 jshamlet
      elsif( WPR_Rd_En_q = '1'  )then
183
        case( WPR_Reg_Sel_q )is
184 242 jshamlet
          when "00" =>
185
            Rd_Data          <= Write_Mask_0;
186
          when "01" =>
187
            Rd_Data          <= Write_Mask_1;
188
          when "10" =>
189
            Rd_Data          <= Write_Mask_2;
190
          when "11" =>
191
            Rd_Data          <= Write_Mask_3;
192
          when others =>
193
            null;
194
        end case;
195
      end if;
196 251 jshamlet
 
197
      Write_Fault            <= Write_Fault_d;
198
 
199 242 jshamlet
    end if;
200
  end process;
201
 
202
end generate;
203
 
204
Write_Protect_Off : if( not Write_Protect )generate
205
 
206 259 jshamlet
  Write_Fault                <= '0';
207
 
208 242 jshamlet
  RAM_Addr_Match             <= '1' when RAM_Base_Addr = RAM_User_Addr else '0';
209
 
210 244 jshamlet
  RAM_Rd_En_d                <= RAM_Addr_Match and Open8_Bus.Rd_En;
211
  RAM_Wr_En_d                <= RAM_Addr_Match and Open8_Bus.Wr_En;
212 242 jshamlet
 
213
  RAM_proc: process( Reset, Clock )
214
  begin
215
    if( Reset = Reset_Level )then
216 244 jshamlet
      RAM_Rd_En_q            <= '0';
217 242 jshamlet
      Rd_Data                <= OPEN8_NULLBUS;
218
    elsif( rising_edge(Clock) )then
219 244 jshamlet
      RAM_Rd_En_q            <= RAM_Rd_En_d;
220 242 jshamlet
      Rd_Data                <= OPEN8_NULLBUS;
221 244 jshamlet
      if( RAM_Rd_En_q = '1' )then
222 242 jshamlet
        Rd_Data              <= RAM_Rd_Data;
223
      end if;
224
    end if;
225
  end process;
226
 
227
end generate;
228
 
229
  -- Note that this RAM should be created without an output FF (unregistered Q)
230
  U_RAM : entity work.ram_4k_core
231
  port map(
232
    address                  => RAM_Addr,
233
    clock                    => Clock,
234
    data                     => Wr_Data_d,
235 244 jshamlet
    wren                     => RAM_Wr_En_d,
236 242 jshamlet
    q                        => RAM_Rd_Data
237
  );
238
 
239
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.