OpenCores
URL https://opencores.org/ocsvn/openarty/openarty/trunk

Subversion Repositories openarty

[/] [openarty/] [trunk/] [rtl/] [fastio.v] - Blame information for rev 34

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 dgisselq
////////////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    fastio.v
4
//
5
// Project:     OpenArty, an entirely open SoC based upon the Arty platform
6
//
7
// Purpose:     
8
//
9
// Creator:     Dan Gisselquist, Ph.D.
10
//              Gisselquist Technology, LLC
11
//
12
////////////////////////////////////////////////////////////////////////////////
13
//
14
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
15
//
16
// This program is free software (firmware): you can redistribute it and/or
17
// modify it under the terms of  the GNU General Public License as published
18
// by the Free Software Foundation, either version 3 of the License, or (at
19
// your option) any later version.
20
//
21
// This program is distributed in the hope that it will be useful, but WITHOUT
22
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
23
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
24
// for more details.
25
//
26
// You should have received a copy of the GNU General Public License along
27
// with this program.  (It's in the $(ROOT)/doc directory, run make with no
28
// target there if the PDF file isn't present.)  If not, see
29
// <http://www.gnu.org/licenses/> for a copy.
30
//
31
// License:     GPL, v3, as defined and found on www.gnu.org,
32
//              http://www.gnu.org/licenses/gpl.html
33
//
34
//
35
////////////////////////////////////////////////////////////////////////////////
36
//
37
//
38
`include "builddate.v"
39
//
40
module  fastio(i_clk,
41
                // Board level I/O
42
                i_sw, i_btn, o_led,
43
                o_clr_led0, o_clr_led1, o_clr_led2, o_clr_led3,
44
                // Board level PMod I/O
45
                i_aux_rx, o_aux_tx, o_aux_cts, i_gps_rx, o_gps_tx,
46 34 dgisselq
`ifdef  USE_GPIO
47
                i_gpio, o_gpio,
48
`endif
49 3 dgisselq
                // Wishbone control
50
                i_wb_cyc, i_wb_stb, i_wb_we, i_wb_addr,
51
                        i_wb_data, o_wb_ack, o_wb_stall, o_wb_data,
52
                // Cross-board I/O
53 34 dgisselq
                i_rtc_ppd, i_buserr, i_gps_now, i_gps_step, i_other_ints, o_bus_int, o_board_ints);
54 17 dgisselq
        parameter       AUXUART_SETUP = 30'd1736, // 115200 baud from 200MHz clk
55 25 dgisselq
                        GPSUART_SETUP = 30'd20833, // 9600 baud from 200MHz clk
56 34 dgisselq
                        EXTRACLOCK = 1, // Do we need an extra clock to process?
57
                        NGPI=0, NGPO=0; // Number of GPIO in and out wires
58 3 dgisselq
        input                   i_clk;
59
        // Board level I/O
60
        input           [3:0]    i_sw;
61
        input           [3:0]    i_btn;
62
        output  wire    [3:0]    o_led;
63
        output  reg     [2:0]    o_clr_led0;
64
        output  reg     [2:0]    o_clr_led1;
65
        output  reg     [2:0]    o_clr_led2;
66
        output  reg     [2:0]    o_clr_led3;
67
        // Board level PMod I/O
68
        //
69
        // Auxilliary UART I/O
70
        input           i_aux_rx;
71
        output  wire    o_aux_tx, o_aux_cts;
72
        //
73
        // GPS UART I/O
74
        input           i_gps_rx;
75
        output  wire    o_gps_tx;
76
        //
77 34 dgisselq
`ifdef  USE_GPIO
78 3 dgisselq
        // GPIO
79 34 dgisselq
        input           [(NGPI-1):0]     i_gpio;
80
        output reg      [(NGPO-1):0]     o_gpio;
81
`endif
82 3 dgisselq
        //
83
        // Wishbone inputs
84
        input                   i_wb_cyc, i_wb_stb, i_wb_we;
85
        input           [4:0]    i_wb_addr;
86
        input           [31:0]   i_wb_data;
87
        // Wishbone outputs
88
        output  reg             o_wb_ack;
89
        output  wire            o_wb_stall;
90
        output  reg     [31:0]   o_wb_data;
91
        // A strobe at midnight, to keep the calendar on "time"
92
        input                   i_rtc_ppd;
93
        // Address of the last bus error
94
        input           [31:0]   i_buserr;
95 34 dgisselq
        // The current time, as produced by the GPS tracking processor
96
        input           [31:0]   i_gps_now, i_gps_step;
97 3 dgisselq
        //
98
        // Interrupts -- both the output bus interrupt, as well as those
99
        //      internally generated interrupts which may be used elsewhere
100
        //      in the design
101
        input   wire    [8:0]    i_other_ints;
102
        output  wire            o_bus_int;
103
        output  wire    [5:0]    o_board_ints; // Button and switch interrupts
104
 
105 25 dgisselq
        wire    [31:0]   w_wb_data;
106
        wire    [4:0]    w_wb_addr;
107
        wire            w_wb_stb;
108
 
109
        generate
110
        if (EXTRACLOCK == 0)
111 3 dgisselq
        begin
112 25 dgisselq
                assign  w_wb_data = i_wb_data;
113
                assign  w_wb_addr = i_wb_addr;
114
                assign  w_wb_stb = (i_wb_stb)&&(i_wb_we);
115
        end else begin
116
                reg             last_wb_stb;
117
                reg     [4:0]    last_wb_addr;
118
                reg     [31:0]   last_wb_data;
119
                initial last_wb_stb = 1'b0;
120
                always @(posedge i_clk)
121
                begin
122
                        last_wb_addr <= i_wb_addr;
123
                        last_wb_data <= i_wb_data;
124
                        last_wb_stb  <= (i_wb_stb)&&(i_wb_we);
125
                end
126 3 dgisselq
 
127 25 dgisselq
                assign  w_wb_data = last_wb_data;
128
                assign  w_wb_addr = last_wb_addr;
129
                assign  w_wb_stb  = last_wb_stb;
130
        end endgenerate
131
 
132 3 dgisselq
        wire    [31:0]   pic_data;
133
        reg     sw_int, btn_int;
134
        wire    pps_int, rtc_int, netrx_int, nettx_int,
135
                auxrx_int, auxtx_int, gpio_int, flash_int, scop_int,
136
                gpsrx_int, sd_int, oled_int, zip_int;
137
        assign { zip_int, oled_int, rtc_int, sd_int,
138
                        nettx_int, netrx_int, scop_int, flash_int,
139
                        pps_int } = i_other_ints;
140
 
141 17 dgisselq
        //
142
        // The BUS Interrupt controller
143
        //
144 3 dgisselq
        icontrol #(15)  buspic(i_clk, 1'b0,
145 25 dgisselq
                (w_wb_stb)&&(w_wb_addr==5'h1),
146 3 dgisselq
                        i_wb_data, pic_data,
147
                { zip_int, oled_int, sd_int,
148
                        gpsrx_int, scop_int, flash_int, gpio_int,
149
                        auxtx_int, auxrx_int, nettx_int, netrx_int,
150
                        rtc_int, pps_int, sw_int, btn_int },
151
                        o_bus_int);
152
 
153
        // 
154
        // PWR Count
155
        // 
156
        // A 32-bit counter that starts at power up and never resets.  It's a
157
        // read only counter if you will.
158
        reg     [31:0]   pwr_counter;
159
        initial pwr_counter = 32'h00;
160
        always @(posedge i_clk)
161 34 dgisselq
                if (pwr_counter[31])
162
                        pwr_counter[30:0] <= pwr_counter[30:0] + 31'h001;
163
                else
164
                        pwr_counter[31:0] <= pwr_counter[31:0] + 31'h001;
165 3 dgisselq
 
166
        //
167
        // BTNSW
168
        //
169
        // The button and switch control register
170
        wire    [31:0]   w_btnsw;
171
        reg     [3:0]    r_sw,  swcfg,  swnow,  swlast;
172
        reg     [3:0]    r_btn, btncfg, btnnow, btnlast, btnstate;
173
        initial btn_int = 1'b0;
174
        initial sw_int  = 1'b0;
175
        always @(posedge i_clk)
176
        begin
177
                r_sw <= i_sw;
178
                swnow <= r_sw;
179
                swlast<= swnow;
180
                sw_int <= |((swnow^swlast)|swcfg);
181
 
182 25 dgisselq
                if ((w_wb_stb)&&(w_wb_addr == 5'h4))
183
                        swcfg <= ((w_wb_data[3:0])&(w_wb_data[11:8]))
184
                                        |((~w_wb_data[3:0])&(swcfg));
185 3 dgisselq
 
186
                r_btn <= i_btn;
187
                btnnow <= r_btn;
188
                btn_int <= |(btnnow&btncfg);
189 25 dgisselq
                if ((w_wb_stb)&&(w_wb_addr == 5'h4))
190 3 dgisselq
                begin
191 25 dgisselq
                        btncfg <= ((w_wb_data[7:4])&(w_wb_data[15:12]))
192
                                        |((~w_wb_data[7:4])&(btncfg));
193
                        btnstate<= (btnnow)|((btnstate)&(~w_wb_data[7:4]));
194 3 dgisselq
                end else
195
                        btnstate <= (btnstate)|(btnnow);
196
        end
197
        assign  w_btnsw = { 8'h00, btnnow, 4'h0, btncfg, swcfg, btnstate, swnow };
198
 
199
        //
200
        // LEDCTRL
201
        //
202
        reg     [3:0]    r_leds;
203
        wire    [31:0]   w_ledreg;
204
        initial r_leds = 4'h0;
205
        always @(posedge i_clk)
206 25 dgisselq
                if ((w_wb_stb)&&(w_wb_addr == 5'h5))
207
                        r_leds <= ((w_wb_data[7:4])&(w_wb_data[3:0]))
208
                                |((~w_wb_data[7:4])&(r_leds));
209 3 dgisselq
        assign  o_led = r_leds;
210
        assign  w_ledreg = { 28'h0, r_leds  };
211
 
212
        //
213
        // GPIO
214
        //
215
        // Not used (yet), but this interface should allow us to control up to
216
        // 16 GPIO inputs, and another 16 GPIO outputs.  The interrupt trips
217
        // when any of the inputs changes.  (Sorry, which input isn't (yet)
218
        // selectable.)
219
        //
220 34 dgisselq
        wire    [31:0]   gpio_data;
221
`ifdef  USE_GPIO
222
        wbgpio  #(NIN, NOUT)
223
                gpioi(i_clk, w_wb_cyc, (w_wb_stb)&&(w_wb_addr == 5'hd), 1'b1,
224
                        w_wb_data, gpio_data, i_gpio, o_gpio, gpio_int);
225
`else
226
        assign  gpio_data = 32'h00;
227 3 dgisselq
        assign  gpio_int = 1'b0;
228 34 dgisselq
`endif
229 3 dgisselq
 
230
        //
231
        // AUX (UART) SETUP
232
        //
233
        // Set us up for 4Mbaud, 8 data bits, no stop bits.
234
        reg     [29:0]   aux_setup;
235
        initial aux_setup = AUXUART_SETUP;
236
        always @(posedge i_clk)
237 25 dgisselq
                if ((w_wb_stb)&&(w_wb_addr == 5'h6))
238
                        aux_setup[29:0] <= w_wb_data[29:0];
239 3 dgisselq
 
240
        //
241
        // GPSSETUP
242
        //
243
        // Set us up for 9600 kbaud, 8 data bits, no stop bits.
244
        reg     [29:0]   gps_setup;
245
        initial gps_setup = GPSUART_SETUP;
246
        always @(posedge i_clk)
247 25 dgisselq
                if ((w_wb_stb)&&(w_wb_addr == 5'h7))
248
                        gps_setup[29:0] <= w_wb_data[29:0];
249 3 dgisselq
 
250
        //
251
        // CLR LEDs
252
        //
253
 
254
        // CLR LED 0
255
        wire    [31:0]   w_clr_led0;
256
        reg     [8:0]    r_clr_led0_r, r_clr_led0_g, r_clr_led0_b;
257
        initial r_clr_led0_r = 9'h003; // Color LED on the far right
258
        initial r_clr_led0_g = 9'h000;
259
        initial r_clr_led0_b = 9'h000;
260
        always @(posedge i_clk)
261 25 dgisselq
                if ((w_wb_stb)&&(w_wb_addr == 5'h8))
262 3 dgisselq
                begin
263 25 dgisselq
                        r_clr_led0_r <= { w_wb_data[26], w_wb_data[23:16] };
264
                        r_clr_led0_g <= { w_wb_data[25], w_wb_data[15: 8] };
265
                        r_clr_led0_b <= { w_wb_data[24], w_wb_data[ 7: 0] };
266 3 dgisselq
                end
267
        assign  w_clr_led0 = { 5'h0,
268
                        r_clr_led0_r[8], r_clr_led0_g[8], r_clr_led0_b[8],
269
                        r_clr_led0_r[7:0], r_clr_led0_g[7:0], r_clr_led0_b[7:0]
270
                };
271
        always @(posedge i_clk)
272
                o_clr_led0 <= { (pwr_counter[8:0] < r_clr_led0_r),
273
                                (pwr_counter[8:0] < r_clr_led0_g),
274
                                (pwr_counter[8:0] < r_clr_led0_b) };
275
 
276
        // CLR LED 1
277
        wire    [31:0]   w_clr_led1;
278
        reg     [8:0]    r_clr_led1_r, r_clr_led1_g, r_clr_led1_b;
279
        initial r_clr_led1_r = 9'h007;
280
        initial r_clr_led1_g = 9'h000;
281
        initial r_clr_led1_b = 9'h000;
282
        always @(posedge i_clk)
283 25 dgisselq
                if ((w_wb_stb)&&(w_wb_addr == 5'h9))
284 3 dgisselq
                begin
285 25 dgisselq
                        r_clr_led1_r <= { w_wb_data[26], w_wb_data[23:16] };
286
                        r_clr_led1_g <= { w_wb_data[25], w_wb_data[15: 8] };
287
                        r_clr_led1_b <= { w_wb_data[24], w_wb_data[ 7: 0] };
288 3 dgisselq
                end
289
        assign  w_clr_led1 = { 5'h0,
290
                        r_clr_led1_r[8], r_clr_led1_g[8], r_clr_led1_b[8],
291
                        r_clr_led1_r[7:0], r_clr_led1_g[7:0], r_clr_led1_b[7:0]
292
                };
293
        always @(posedge i_clk)
294
                o_clr_led1 <= { (pwr_counter[8:0] < r_clr_led1_r),
295
                                (pwr_counter[8:0] < r_clr_led1_g),
296
                                (pwr_counter[8:0] < r_clr_led1_b) };
297
        // CLR LED 0
298
        wire    [31:0]   w_clr_led2;
299
        reg     [8:0]    r_clr_led2_r, r_clr_led2_g, r_clr_led2_b;
300
        initial r_clr_led2_r = 9'h00f;
301
        initial r_clr_led2_g = 9'h000;
302
        initial r_clr_led2_b = 9'h000;
303
        always @(posedge i_clk)
304 25 dgisselq
                if ((w_wb_stb)&&(w_wb_addr == 5'ha))
305 3 dgisselq
                begin
306 25 dgisselq
                        r_clr_led2_r <= { w_wb_data[26], w_wb_data[23:16] };
307
                        r_clr_led2_g <= { w_wb_data[25], w_wb_data[15: 8] };
308
                        r_clr_led2_b <= { w_wb_data[24], w_wb_data[ 7: 0] };
309 3 dgisselq
                end
310
        assign  w_clr_led2 = { 5'h0,
311
                        r_clr_led2_r[8], r_clr_led2_g[8], r_clr_led2_b[8],
312
                        r_clr_led2_r[7:0], r_clr_led2_g[7:0], r_clr_led2_b[7:0]
313
                };
314
        always @(posedge i_clk)
315
                o_clr_led2 <= { (pwr_counter[8:0] < r_clr_led2_r),
316
                                (pwr_counter[8:0] < r_clr_led2_g),
317
                                (pwr_counter[8:0] < r_clr_led2_b) };
318
        // CLR LED 3
319
        wire    [31:0]   w_clr_led3;
320
        reg     [8:0]    r_clr_led3_r, r_clr_led3_g, r_clr_led3_b;
321
        initial r_clr_led3_r = 9'h01f; // LED is on far left
322
        initial r_clr_led3_g = 9'h000;
323
        initial r_clr_led3_b = 9'h000;
324
        always @(posedge i_clk)
325 25 dgisselq
                if ((w_wb_stb)&&(w_wb_addr == 5'hb))
326 3 dgisselq
                begin
327 25 dgisselq
                        r_clr_led3_r <= { w_wb_data[26], w_wb_data[23:16] };
328
                        r_clr_led3_g <= { w_wb_data[25], w_wb_data[15: 8] };
329
                        r_clr_led3_b <= { w_wb_data[24], w_wb_data[ 7: 0] };
330 3 dgisselq
                end
331
        assign  w_clr_led3 = { 5'h0,
332
                        r_clr_led3_r[8], r_clr_led3_g[8], r_clr_led3_b[8],
333
                        r_clr_led3_r[7:0], r_clr_led3_g[7:0], r_clr_led3_b[7:0]
334
                };
335
        always @(posedge i_clk)
336
                o_clr_led3 <= { (pwr_counter[8:0] < r_clr_led3_r),
337
                                (pwr_counter[8:0] < r_clr_led3_g),
338
                                (pwr_counter[8:0] < r_clr_led3_b) };
339
 
340
        //
341
        // The Calendar DATE
342
        //
343
        wire    [31:0]   date_data;
344
`define GET_DATE
345
`ifdef  GET_DATE
346
        wire    date_ack, date_stall;
347
        rtcdate thedate(i_clk, i_rtc_ppd,
348 25 dgisselq
                i_wb_cyc, w_wb_stb, (w_wb_addr==5'hc), w_wb_data,
349 3 dgisselq
                        date_ack, date_stall, date_data);
350
`else
351
        assign  date_data = 32'h20160000;
352
`endif
353
 
354
        //////
355
        //
356
        // The auxilliary UART
357
        //
358
        //////
359
 
360 17 dgisselq
        //
361
        // First the Auxilliary UART receiver
362
        //
363 3 dgisselq
        wire    auxrx_stb, auxrx_break, auxrx_perr, auxrx_ferr, auxck_uart;
364
        wire    [7:0]    rx_data_aux_port;
365
        rxuart  auxrx(i_clk, 1'b0, aux_setup, i_aux_rx,
366
                        auxrx_stb, rx_data_aux_port, auxrx_break,
367
                        auxrx_perr, auxrx_ferr, auxck_uart);
368
 
369
        wire    [31:0]   auxrx_data;
370
        reg     [11:0]   r_auxrx_data;
371
        always @(posedge i_clk)
372
                if (auxrx_stb)
373
                begin
374
                        r_auxrx_data[11] <= auxrx_break;
375
                        r_auxrx_data[10] <= auxrx_ferr;
376
                        r_auxrx_data[ 9] <= auxrx_perr;
377
                        r_auxrx_data[7:0]<= rx_data_aux_port;
378
                end
379
        always @(posedge i_clk)
380 17 dgisselq
                if(((i_wb_stb)&&(~i_wb_we)&&(i_wb_addr == 5'h0e))||(auxrx_stb))
381 25 dgisselq
                        r_auxrx_data[8] <= !auxrx_stb;
382 3 dgisselq
        assign  o_aux_cts = auxrx_stb;
383
        assign  auxrx_data = { 20'h00, r_auxrx_data };
384
        assign  auxrx_int = r_auxrx_data[8];
385
 
386 17 dgisselq
        //
387
        // Then the auxilliary UART transmitter
388
        //
389 3 dgisselq
        wire    auxtx_busy;
390
        reg     [7:0]    r_auxtx_data;
391
        reg             r_auxtx_stb, r_auxtx_break;
392
        wire    [31:0]   auxtx_data;
393
        txuart  auxtx(i_clk, 1'b0, aux_setup,
394
                        r_auxtx_break, r_auxtx_stb, r_auxtx_data,
395
                        o_aux_tx, auxtx_busy);
396
        always @(posedge i_clk)
397 25 dgisselq
                if ((w_wb_stb)&&(w_wb_addr == 5'h0f))
398 3 dgisselq
                begin
399 25 dgisselq
                        r_auxtx_stb <= (!r_auxtx_break)&&(!w_wb_data[9]);
400
                        r_auxtx_data <= w_wb_data[7:0];
401
                        r_auxtx_break<= w_wb_data[9];
402 3 dgisselq
                end else if (~auxtx_busy)
403
                begin
404
                        r_auxtx_stb <= 1'b0;
405
                        r_auxtx_data <= 8'h0;
406
                end
407
        assign  auxtx_data = { 20'h00,
408
                auxck_uart, o_aux_tx, r_auxtx_break, auxtx_busy,
409
                r_auxtx_data };
410
        assign  auxtx_int = ~auxtx_busy;
411
 
412
        //////
413
        //
414
        // The GPS UART
415
        //
416
        //////
417
 
418
        // First the receiver
419
        wire    gpsrx_stb, gpsrx_break, gpsrx_perr, gpsrx_ferr, gpsck_uart;
420
        wire    [7:0]    rx_data_gps_port;
421
        rxuart  gpsrx(i_clk, 1'b0, gps_setup, i_gps_rx,
422
                        gpsrx_stb, rx_data_gps_port, gpsrx_break,
423
                        gpsrx_perr, gpsrx_ferr, gpsck_uart);
424
 
425
        wire    [31:0]   gpsrx_data;
426
        reg     [11:0]   r_gpsrx_data;
427
        always @(posedge i_clk)
428
                if (gpsrx_stb)
429
                begin
430
                        r_gpsrx_data[11] <= gpsrx_break;
431
                        r_gpsrx_data[10] <= gpsrx_ferr;
432
                        r_gpsrx_data[ 9] <= gpsrx_perr;
433
                        r_gpsrx_data[7:0]<= rx_data_gps_port;
434
                end
435
        always @(posedge i_clk)
436 17 dgisselq
                if(((i_wb_stb)&&(~i_wb_we)&&(i_wb_addr == 5'h10))||(gpsrx_stb))
437 34 dgisselq
                        r_gpsrx_data[8] <= !gpsrx_stb;
438 3 dgisselq
        assign  gpsrx_data = { 20'h00, r_gpsrx_data };
439
        assign  gpsrx_int = r_gpsrx_data[8];
440
 
441
 
442
        // Then the transmitter
443
        reg             r_gpstx_break, r_gpstx_stb;
444
        reg     [7:0]    r_gpstx_data;
445
        wire            gpstx_busy;
446
        wire    [31:0]   gpstx_data;
447
        txuart  gpstx(i_clk, 1'b0, gps_setup,
448
                        r_gpstx_break, r_gpstx_stb, r_gpstx_data,
449
                        o_gps_tx, gpstx_busy);
450
        always @(posedge i_clk)
451 25 dgisselq
                if ((w_wb_stb)&&(w_wb_addr == 5'h11))
452 3 dgisselq
                begin
453
                        r_gpstx_stb <= 1'b1;
454 25 dgisselq
                        r_gpstx_data <= w_wb_data[7:0];
455
                        r_gpstx_break<= w_wb_data[9];
456 3 dgisselq
                end else if (~gpstx_busy)
457
                begin
458
                        r_gpstx_stb <= 1'b0;
459
                        r_gpstx_data <= 8'h0;
460
                end
461
        assign  gpstx_data = { 20'h00,
462
                gpsck_uart, o_gps_tx, r_gpstx_break, gpstx_busy,
463
                r_gpstx_data };
464
 
465
        always @(posedge i_clk)
466
                case(i_wb_addr)
467
                5'h00: o_wb_data <= `DATESTAMP;
468
                5'h01: o_wb_data <= pic_data;
469
                5'h02: o_wb_data <= i_buserr;
470
                5'h03: o_wb_data <= pwr_counter;
471
                5'h04: o_wb_data <= w_btnsw;
472
                5'h05: o_wb_data <= w_ledreg;
473
                5'h06: o_wb_data <= { 2'b00, aux_setup };
474
                5'h07: o_wb_data <= { 2'b00, gps_setup };
475
                5'h08: o_wb_data <= w_clr_led0;
476
                5'h09: o_wb_data <= w_clr_led1;
477
                5'h0a: o_wb_data <= w_clr_led2;
478
                5'h0b: o_wb_data <= w_clr_led3;
479
                5'h0c: o_wb_data <= date_data;
480 34 dgisselq
                5'h0d: o_wb_data <= gpio_data;
481 17 dgisselq
                5'h0e: o_wb_data <= auxrx_data;
482
                5'h0f: o_wb_data <= auxtx_data;
483 3 dgisselq
                5'h10: o_wb_data <= gpsrx_data;
484
                5'h11: o_wb_data <= gpstx_data;
485 34 dgisselq
                5'h12: o_wb_data <= i_gps_now;
486
                5'h13: o_wb_data <= i_gps_step;
487 3 dgisselq
                // 5'hf: UART_SETUP
488
                // 4'h6: GPIO
489
                // ?? : GPS-UARTRX
490
                // ?? : GPS-UARTTX
491
                default: o_wb_data <= 32'h00;
492
                endcase
493
 
494
        assign  o_wb_stall = 1'b0;
495
        always @(posedge i_clk)
496
                o_wb_ack <= (i_wb_stb);
497
        assign  o_board_ints = { gpio_int, auxrx_int, auxtx_int, gpsrx_int, sw_int, btn_int };
498
 
499
 
500
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.