OpenCores
URL https://opencores.org/ocsvn/openarty/openarty/trunk

Subversion Repositories openarty

[/] [openarty/] [trunk/] [rtl/] [fasttop.v] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 dgisselq
////////////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    fasttop.v
4
//
5
// Project:     OpenArty, an entirely open SoC based upon the Arty platform
6
//
7
// Purpose:     This is the top level Verilog file.  It is so named as fasttop,
8
//              because my purpose will be to run the Arty at 200MHz, just to
9
//      prove that I can get it up to that frequency.
10
//
11
// Creator:     Dan Gisselquist, Ph.D.
12
//              Gisselquist Technology, LLC
13
//
14
////////////////////////////////////////////////////////////////////////////////
15
//
16
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
17
//
18
// This program is free software (firmware): you can redistribute it and/or
19
// modify it under the terms of  the GNU General Public License as published
20
// by the Free Software Foundation, either version 3 of the License, or (at
21
// your option) any later version.
22
//
23
// This program is distributed in the hope that it will be useful, but WITHOUT
24
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
25
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
26
// for more details.
27
//
28
// You should have received a copy of the GNU General Public License along
29
// with this program.  (It's in the $(ROOT)/doc directory, run make with no
30
// target there if the PDF file isn't present.)  If not, see
31
// <http://www.gnu.org/licenses/> for a copy.
32
//
33
// License:     GPL, v3, as defined and found on www.gnu.org,
34
//              http://www.gnu.org/licenses/gpl.html
35
//
36
//
37
////////////////////////////////////////////////////////////////////////////////
38
//
39
//
40
module fasttop(i_clk_100mhz, i_reset_btn,
41
        i_sw,                   // Switches
42
        i_btn,                  // Buttons
43
        o_led,                  // Single color LEDs
44
        o_clr_led0, o_clr_led1, o_clr_led2, o_clr_led3, // Color LEDs
45
        // RS232 UART
46
        i_uart_rx, o_uart_tx,
47
        // Quad-SPI Flash control
48
        o_qspi_sck, o_qspi_cs_n, io_qspi_dat,
49
        // Missing: Ethernet
50
        o_eth_mdclk, io_eth_mdio,
51
        // Memory
52
        o_ddr_reset_n, o_ddr_cke, o_ddr_ck_p, o_ddr_ck_n,
53
        o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n, o_ddr_we_n,
54
        io_ddr_dqs_p, io_ddr_dqs_n,
55
        o_ddr_addr, o_ddr_ba,
56
        io_ddr_data, o_ddr_dm, o_ddr_odt,
57
        // SD Card
58
        o_sd_sck, io_sd_cmd, io_sd, i_sd_cs, i_sd_wp,
59
        // GPS Pmod
60
        i_gps_pps, i_gps_3df, i_gps_rx, o_gps_tx,
61
        // OLED Pmod
62
        o_oled_sck, o_oled_cs_n, o_oled_mosi, o_oled_dcn, o_oled_reset_n,
63
                o_oled_vccen, o_oled_pmoden,
64
        // PMod I/O
65
        i_aux_rx, i_aux_rts, o_aux_tx, o_aux_cts
66
        );
67
        input                   i_clk_100mhz, i_reset_btn;
68
        input           [3:0]    i_sw;   // Switches
69
        input           [3:0]    i_btn;  // Buttons
70
        output  wire    [3:0]    o_led;  // LED
71
        output  wire    [2:0]    o_clr_led0, o_clr_led1, o_clr_led2, o_clr_led3;
72
        // UARTs
73
        input                   i_uart_rx;
74
        output  wire            o_uart_tx;
75
        // Quad SPI flash
76
        output  wire            o_qspi_sck, o_qspi_cs_n;
77
        inout   [3:0]            io_qspi_dat;
78
        // Ethernet // Not yet implemented
79
        // Ethernet control (MDIO)
80
        output  wire            o_eth_mdclk;
81
        inout   wire            io_eth_mdio;
82
        // DDR3 SDRAM
83
        output  wire            o_ddr_reset_n;
84
        output  wire            o_ddr_cke;
85
        output  wire            o_ddr_ck_p, o_ddr_ck_n;
86
        output  wire            o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n, o_ddr_we_n;
87
        inout           [1:0]    io_ddr_dqs_p, io_ddr_dqs_n;
88
        output  wire    [13:0]   o_ddr_addr;
89
        output  wire    [2:0]    o_ddr_ba;
90
        inout           [15:0]   io_ddr_data;
91
        //
92
        output  wire    [1:0]    o_ddr_dm;
93
        output  wire            o_ddr_odt;
94
        // SD Card
95
        output  wire            o_sd_sck;
96
        inout                   io_sd_cmd;
97
        inout           [3:0]    io_sd;
98
        input                   i_sd_cs;
99
        input                   i_sd_wp;
100
        // GPS PMod
101
        input                   i_gps_pps, i_gps_3df, i_gps_rx;
102
        output  wire            o_gps_tx;
103
        // OLEDRGB PMod
104
        output  wire            o_oled_sck, o_oled_cs_n, o_oled_mosi,
105
                                o_oled_dcn, o_oled_reset_n, o_oled_vccen,
106
                                o_oled_pmoden;
107
        // Aux UART
108
        input                   i_aux_rx, i_aux_rts;
109
        output  wire            o_aux_tx, o_aux_cts;
110
 
111 12 dgisselq
`define FULLCLOCK
112 3 dgisselq
        // Build our master clock
113 12 dgisselq
        wire    i_clk, clk_for_ddr, clk2_unused, enet_clk, clk_analyzer,
114
                clk_feedback, clk_locked, clk_analyzer_b;
115 3 dgisselq
        PLLE2_BASE      #(
116
                .BANDWIDTH("OPTIMIZED"),        // OPTIMIZED, HIGH, LOW
117
                .CLKFBOUT_PHASE(0.0),   // Phase offset in degrees of CLKFB, (-360-360)
118
                .CLKIN1_PERIOD(10.0),   // Input clock period in ns to ps resolution
119
`ifdef  FULLCLOCK
120
                // CLKOUT0_DIVIDE - CLKOUT5_DIVIDE: divide amount for each CLKOUT(1-128)
121
                .CLKFBOUT_MULT(8),      // Multiply value for all CLKOUT (2-64)
122
                .CLKOUT0_DIVIDE(4),     // 200 MHz
123 12 dgisselq
                .CLKOUT1_DIVIDE(4),     // 200 MHz clock for DDR memory
124 3 dgisselq
                .CLKOUT2_DIVIDE(8),     // 100 MHz
125
                .CLKOUT3_DIVIDE(32),    //  25 MHz
126 12 dgisselq
                .CLKOUT4_DIVIDE(1),     // 800 MHz
127
                .CLKOUT5_DIVIDE(1),
128 3 dgisselq
`else
129
                // 100*64/40 = 160 -- the fastest speed where the UART will 
130
                // still work at 4MBaud.  Others will still support 115200
131
                // Baud
132
                // 100*64/36 = 177.78
133
                // 100*64/34 = 188.24
134
                // 100*64/33 = 193.94
135
                .CLKFBOUT_MULT(8),      // Multiply value for all CLKOUT (2-64)
136
                .CLKOUT0_DIVIDE(5),     // 160 MHz
137 12 dgisselq
                .CLKOUT1_DIVIDE(5),     // 160 MHz //Clock too slow for DDR mem
138 3 dgisselq
                .CLKOUT2_DIVIDE(10),    //  80 MHz
139
                .CLKOUT3_DIVIDE(40),    //  20 MHz
140 12 dgisselq
                .CLKOUT4_DIVIDE(1),     //  40 MHz
141
                .CLKOUT5_DIVIDE(1),
142 3 dgisselq
`endif
143
                // CLKOUT0_DUTY_CYCLE -- Duty cycle for each CLKOUT
144
                .CLKOUT0_DUTY_CYCLE(0.5),
145
                .CLKOUT1_DUTY_CYCLE(0.5),
146
                .CLKOUT2_DUTY_CYCLE(0.5),
147
                .CLKOUT3_DUTY_CYCLE(0.5),
148
                .CLKOUT4_DUTY_CYCLE(0.5),
149
                .CLKOUT5_DUTY_CYCLE(0.5),
150
                // CLKOUT0_PHASE -- phase offset for each CLKOUT
151
                .CLKOUT0_PHASE(0.0),
152 12 dgisselq
                .CLKOUT1_PHASE(270.0),
153 3 dgisselq
                .CLKOUT2_PHASE(0.0),
154
                .CLKOUT3_PHASE(0.0),
155
                .CLKOUT4_PHASE(0.0),
156 12 dgisselq
                .CLKOUT5_PHASE(180.0),
157 3 dgisselq
                .DIVCLK_DIVIDE(1),      // Master division value , (1-56)
158
                .REF_JITTER1(0.0),      // Reference input jitter in UI (0.000-0.999)
159
                .STARTUP_WAIT("FALSE")  // Delayu DONE until PLL Locks, ("TRUE"/"FALSE")
160
        ) genclock(
161
                // Clock outputs: 1-bit (each) output
162
                .CLKOUT0(i_clk),
163
                .CLKOUT1(clk_for_ddr),
164
                .CLKOUT2(clk2_unused), // Reserved for flash, should we need it
165
                .CLKOUT3(enet_clk),
166 12 dgisselq
                .CLKOUT4(clk_analyzer),
167
                .CLKOUT5(clk_analyzer_b),
168 3 dgisselq
                .CLKFBOUT(clk_feedback), // 1-bit output, feedback clock
169
                .LOCKED(clk_locked),
170
                .CLKIN1(i_clk_100mhz),
171
                .PWRDWN(1'b0),
172
                .RST(1'b0),
173
                .CLKFBIN(clk_feedback)  // 1-bit input, feedback clock
174
        );
175
 
176
        // UART interface
177
        wire    [29:0]   bus_uart_setup;
178
`ifdef  FULLCLOCK
179
        assign          bus_uart_setup = 30'h10000032; // 4MBaud, 7 bits
180
`else
181
        assign          bus_uart_setup = 30'h10000028;//4MBaud,7 bits,@160MHzClk
182
        //assign        bus_uart_setup = 30'h10000019;//4MBaud,7 bits,@100MHzClk
183
`endif
184
 
185
        wire    [7:0]    rx_data, tx_data;
186
        wire            rx_break, rx_parity_err, rx_frame_err, rx_stb;
187
        wire            tx_stb, tx_busy;
188
 
189
        reg     pwr_reset, pre_reset;
190
        initial pwr_reset = 1'b1;
191
        initial pre_reset = 1'b0;
192
        always @(posedge i_clk)
193
                pre_reset <= ~i_reset_btn;
194
        always @(posedge i_clk)
195
                pwr_reset <= pre_reset;
196
 
197
        wire    w_ck_uart, w_uart_tx;
198
        rxuart  rcv(i_clk, pwr_reset, bus_uart_setup, i_uart_rx,
199
                                rx_stb, rx_data, rx_break,
200
                                rx_parity_err, rx_frame_err, w_ck_uart);
201
        txuart  txv(i_clk, pwr_reset, bus_uart_setup, 1'b0,
202
                                tx_stb, tx_data, o_uart_tx, tx_busy);
203
 
204
 
205
 
206
 
207
 
208
 
209
        //////
210
        //
211
        //
212
        // The WB bus interconnect, herein called fastmaster, which handles
213
        // just about ... everything.
214
        //
215
        //
216
        //////
217
        wire            w_qspi_sck;
218
        wire    [1:0]    qspi_bmod;
219
        wire    [3:0]    qspi_dat;
220
        wire    [3:0]    i_qspi_dat;
221
 
222
        //
223
        wire    [31:0]   wo_ddr_data, wi_ddr_data;
224 12 dgisselq
        wire            w_ddr_dqs, w_ddr_dm, w_ddr_bus_oe, w_ddr_odt;
225
        wire    [2:0]    w_ddr_ba;
226
        wire            w_ddr_cs_n, w_ddr_ras_n, w_ddr_cas_n, w_ddr_we_n;
227
        wire    [13:0]   w_ddr_addr;
228
        reg     [31:0]   r_ddr_data;
229
 
230 3 dgisselq
        //
231
        wire            w_mdio, w_mdwe;
232
        //
233
        wire            w_sd_cmd;
234
        wire    [3:0]    w_sd_data;
235
        fastmaster      wbbus(i_clk, pwr_reset,
236
                // External USB-UART bus control
237
                rx_stb, rx_data, tx_stb, tx_data, tx_busy,
238
                // Board lights and switches
239
                i_sw, i_btn, o_led,
240
                o_clr_led0, o_clr_led1, o_clr_led2, o_clr_led3,
241
                // Board level PMod I/O
242
                i_aux_rx, o_aux_tx, o_aux_cts, i_gps_rx, o_gps_tx,
243
                // Quad SPI flash
244
                o_qspi_cs_n, w_qspi_sck, qspi_dat, io_qspi_dat, qspi_bmod,
245
                // DDR3 SDRAM
246
                o_ddr_reset_n, o_ddr_cke,
247 12 dgisselq
                w_ddr_cs_n, w_ddr_ras_n, w_ddr_cas_n, w_ddr_we_n,
248
                w_ddr_dqs, w_ddr_dm, w_ddr_odt, w_ddr_bus_oe,
249
                w_ddr_addr, w_ddr_ba, wo_ddr_data, r_ddr_data,
250 3 dgisselq
                // SD Card
251
                o_sd_sck, w_sd_cmd, w_sd_data, io_sd_cmd, io_sd, i_sd_cs,
252
                // Ethernet control (MDIO) lines
253
                o_eth_mdclk, w_mdio, w_mdwe, io_eth_mdio,
254
                // OLEDRGB PMod wires
255
                o_oled_sck, o_oled_cs_n, o_oled_mosi, o_oled_dcn,
256
                o_oled_reset_n, o_oled_vccen, o_oled_pmoden,
257
                // GPS PMod
258
                i_gps_pps, i_gps_3df
259
                );
260
 
261
        //////
262
        //
263
        //
264
        // Some wires need special treatment, and so are not quite completely
265
        // handled by the bus master.  These are handled below.
266
        //
267
        //
268
        //////
269
 
270
        //
271
        //
272
        // QSPI)BMOD, Quad SPI bus mode, Bus modes are:
273
        //      0?      Normal serial mode, one bit in one bit out
274
        //      10      Quad SPI mode, going out
275
        //      11      Quad SPI mode coming from the device (read mode)
276
        //
277
        //      ??      Dual mode in  (not yet)
278
        //      ??      Dual mode out (not yet)
279
        //
280
        //
281 12 dgisselq
`define QSPI_OUT_VERSION_ONE
282
`ifdef  QSPI_OUT_VERSION_ONE
283 3 dgisselq
        assign io_qspi_dat = (~qspi_bmod[1])?({2'b11,1'bz,qspi_dat[0]})
284
                                :((qspi_bmod[0])?(4'bzzzz):(qspi_dat[3:0]));
285
        assign  i_qspi_dat = io_qspi_dat;
286
        assign  o_qspi_sck = w_qspi_sck;
287 12 dgisselq
`else
288
        wire    [3:0]    i_qspi_ignore;
289
 
290
        xoddr   xqspi_sck( i_clk, { w_qspi_sck,  w_qspi_sck }, o_qspi_sck);
291
        //
292
        xioddr  xqspi_d0(  i_clk, (~qspi_bmod[0])||(~qspi_bmod[1]),
293 3 dgisselq
                { qspi_dat[0], qspi_dat[0] },
294 12 dgisselq
                { i_qspi_ignore[0], i_qspi_dat[0] }, io_qspi_dat[0]);
295
        xioddr  xqspi_d1(  i_clk, (qspi_bmod==2'b10),
296 3 dgisselq
                { qspi_dat[1], qspi_dat[1] },
297 12 dgisselq
                { i_qspi_ignore[1], i_qspi_dat[1] }, io_qspi_dat[1]);
298
        xioddr  xqspi_d2(  i_clk, (qspi_bmod!=2'b11),
299
                (qspi_bmod[1])?{ qspi_dat[2], qspi_dat[2] }:2'b11,
300
                { i_qspi_ignore[2], i_qspi_dat[2] }, io_qspi_dat[2]);
301
        xioddr  xqspi_d3(  i_clk, (qspi_bmod!=2'b11),
302
                (qspi_bmod[1])?{ qspi_dat[3], qspi_dat[3] }:2'b11,
303
                { i_qspi_ignore[3], i_qspi_dat[3] }, io_qspi_dat[3]);
304
`endif
305 3 dgisselq
 
306
        //
307
        // Proposed QSPI mode select, to allow dual I/O mode
308
        //      000     Normal SPI mode
309
        //      001     Dual mode input
310
        //      010     Dual mode, output
311
        //      101     Quad I/O mode input
312
        //      110     Quad I/O mode output
313
        //
314
        //
315
        // assign io_qspi_dat[3:2] = (~qspi_bmod[2]) ? 2'b11
316
        //                      : (qspi_bmod[0])?2'bzz : qspi_dat[3:2];
317
        // assign io_qspi_dat[1] = (~qspi_bmod[1])?qspi_dat[1]:1'bz;
318
        // assign io_qspi_dat[0] = (qspi_bmod[0])?1'bz : qspi_dat[0];
319
 
320
        //
321
        //
322 12 dgisselq
        // The following primitive is necessary on other boards in order to
323
        // gain access to the o_qspi_sck pin.  On the Arty, however, there is
324
        // a clock PIN, so we don't need this primitive.
325 3 dgisselq
        //
326
        //
327
/*
328
        wire    [3:0]   su_nc;  // Startup primitive, no connect
329
        STARTUPE2 #(
330
                // Leave PROG_USR false to avoid activating the program
331
                // event security feature.  Notes state that such a feature
332
                // requires encrypted bitstreams.
333
                .PROG_USR("FALSE"),
334
                // Sets the configuration clock frequency (in ns) for
335
                // simulation.
336
                .SIM_CCLK_FREQ(0.0)
337
        ) STARTUPE2_inst (
338
        // CFGCLK, 1'b output: Configuration main clock output -- no connect
339
        .CFGCLK(su_nc[0]),
340
        // CFGMCLK, 1'b output: Configuration internal oscillator clock output
341
        .CFGMCLK(su_nc[1]),
342
        // EOS, 1'b output: Active high output indicating the End Of Startup.
343
        .EOS(su_nc[2]),
344
        // PREQ, 1'b output: PROGRAM request to fabric output
345
        //      Only enabled if PROG_USR is set.  This lets the fabric know
346
        //      that a request has been made (either JTAG or pin pulled low)
347
        //      to program the device
348
        .PREQ(su_nc[3]),
349
        // CLK, 1'b input: User start-up clock input
350
        .CLK(1'b0),
351
        // GSR, 1'b input: Global Set/Reset input
352
        .GSR(1'b0),
353
        // GTS, 1'b input: Global 3-state input
354
        .GTS(1'b0),
355
        // KEYCLEARB, 1'b input: Clear AES Decrypter Key input from BBRAM
356
        .KEYCLEARB(1'b0),
357
        // PACK, 1-bit input: PROGRAM acknowledge input
358
        //      This pin is only enabled if PROG_USR is set.  This allows the
359
        //      FPGA to acknowledge a request for reprogram to allow the FPGA
360
        //      to get itself into a reprogrammable state first.
361
        .PACK(1'b0),
362
        // USRCLKO, 1-bit input: User CCLK input -- This is why I am using this
363
        // module at all.
364
        .USRCCLKO(qspi_sck),
365
        // USRCCLKTS, 1'b input: User CCLK 3-state enable input
366
        //      An active high here places the clock into a high impedence
367
        //      state.  Since we wish to use the clock as an active output
368
        //      always, we drive this pin low.
369
        .USRCCLKTS(1'b0),
370
        // USRDONEO, 1'b input: User DONE pin output control
371
        //      Set this to "high" to make sure that the DONE LED pin is
372
        //      high.
373
        .USRDONEO(1'b1),
374
        // USRDONETS, 1'b input: User DONE 3-state enable output
375
        //      This enables the FPGA DONE pin to be active.  Setting this
376
        //      active high sets the DONE pin to high impedence, setting it
377
        //      low allows the output of this pin to be as stated above.
378
        .USRDONETS(1'b1)
379
        );
380
*/
381
 
382
 
383
 
384
        //
385
        //
386
        // Wires for setting up the SD Card Controller
387
        //
388
        //
389
        assign io_sd_cmd = w_sd_cmd ? 1'bz:1'b0;
390
        assign io_sd[0] = w_sd_data[0]? 1'bz:1'b0;
391
        assign io_sd[1] = w_sd_data[1]? 1'bz:1'b0;
392
        assign io_sd[2] = w_sd_data[2]? 1'bz:1'b0;
393
        assign io_sd[3] = w_sd_data[3]? 1'bz:1'b0;
394
 
395
 
396
        //
397
        //
398
        // Wire(s) for setting up the MDIO ethernet control structure
399
        //
400
        //
401
        assign  io_eth_mdio = (w_mdwe)?w_mdio : 1'bz;
402
 
403
        //
404
        //
405
        // Wires for setting up the DDR3 memory
406
        //
407
        //
408 12 dgisselq
`ifdef  SDRAM_ACCESS
409
        reg     [15:0]   bottom_half_data;
410
        always @(posedge i_clk)
411
                bottom_half_data <= wo_ddr_data[15:0];
412
        xioddr  p0(i_clk, w_ddr_bus_oe, { wo_ddr_data[16], wo_ddr_data[0] },
413 3 dgisselq
                { wi_ddr_data[16], wi_ddr_data[0] }, io_ddr_data[0]);
414
 
415 12 dgisselq
        xioddr  p1(i_clk, w_ddr_bus_oe, { wo_ddr_data[17], wo_ddr_data[1] },
416 3 dgisselq
                { wi_ddr_data[17], wi_ddr_data[1] }, io_ddr_data[1]);
417
 
418 12 dgisselq
        xioddr  p2(i_clk, w_ddr_bus_oe, { wo_ddr_data[18], wo_ddr_data[2] },
419 3 dgisselq
                { wi_ddr_data[18], wi_ddr_data[2] }, io_ddr_data[2]);
420
 
421 12 dgisselq
        xioddr  p3(i_clk, w_ddr_bus_oe, { wo_ddr_data[19], wo_ddr_data[3] },
422 3 dgisselq
                { wi_ddr_data[19], wi_ddr_data[3] }, io_ddr_data[3]);
423
 
424 12 dgisselq
        xioddr  p4(i_clk, w_ddr_bus_oe, { wo_ddr_data[20], wo_ddr_data[4] },
425 3 dgisselq
                { wi_ddr_data[20], wi_ddr_data[4] }, io_ddr_data[4]);
426
 
427 12 dgisselq
        xioddr  p5(i_clk, w_ddr_bus_oe, { wo_ddr_data[21], wo_ddr_data[5] },
428 3 dgisselq
                { wi_ddr_data[21], wi_ddr_data[5] }, io_ddr_data[5]);
429
 
430 12 dgisselq
        xioddr  p6(i_clk, w_ddr_bus_oe, { wo_ddr_data[22], wo_ddr_data[6] },
431 3 dgisselq
                { wi_ddr_data[22], wi_ddr_data[6] }, io_ddr_data[6]);
432
 
433 12 dgisselq
        xioddr  p7(i_clk, w_ddr_bus_oe, { wo_ddr_data[23], wo_ddr_data[7] },
434 3 dgisselq
                { wi_ddr_data[23], wi_ddr_data[7] }, io_ddr_data[7]);
435
 
436 12 dgisselq
        xioddr  p8(i_clk, w_ddr_bus_oe, { wo_ddr_data[24], wo_ddr_data[8] },
437 3 dgisselq
                { wi_ddr_data[24], wi_ddr_data[8] }, io_ddr_data[8]);
438
 
439 12 dgisselq
        xioddr  p9(i_clk, w_ddr_bus_oe, { wo_ddr_data[25], wo_ddr_data[9] },
440 3 dgisselq
                { wi_ddr_data[25], wi_ddr_data[9] }, io_ddr_data[9]);
441
 
442 12 dgisselq
        xioddr  pa(i_clk, w_ddr_bus_oe, { wo_ddr_data[26], wo_ddr_data[10] },
443 3 dgisselq
                { wi_ddr_data[26], wi_ddr_data[10] }, io_ddr_data[10]);
444
 
445 12 dgisselq
        xioddr  pb(i_clk, w_ddr_bus_oe, { wo_ddr_data[27], wo_ddr_data[11] },
446 3 dgisselq
                { wi_ddr_data[27], wi_ddr_data[11] }, io_ddr_data[11]);
447
 
448 12 dgisselq
        xioddr  pc(i_clk, w_ddr_bus_oe, { wo_ddr_data[28], wo_ddr_data[12] },
449 3 dgisselq
                { wi_ddr_data[28], wi_ddr_data[12] }, io_ddr_data[12]);
450
 
451 12 dgisselq
        xioddr  pd(i_clk, w_ddr_bus_oe, { wo_ddr_data[29], wo_ddr_data[13] },
452 3 dgisselq
                { wi_ddr_data[29], wi_ddr_data[13] }, io_ddr_data[13]);
453
 
454 12 dgisselq
        xioddr  pe(i_clk, w_ddr_bus_oe, { wo_ddr_data[30], wo_ddr_data[14] },
455 3 dgisselq
                { wi_ddr_data[30], wi_ddr_data[14] }, io_ddr_data[14]);
456
 
457 12 dgisselq
        xioddr  pf(i_clk, w_ddr_bus_oe, { wo_ddr_data[31], wo_ddr_data[15] },
458 3 dgisselq
                { wi_ddr_data[31], wi_ddr_data[15] }, io_ddr_data[15]);
459 12 dgisselq
        always @(posedge i_clk)
460
                r_ddr_data <= wi_ddr_data;
461 3 dgisselq
 
462 12 dgisselq
        wire    [7:0]    w_dqs_ignore;
463
        xioddrds        dqs0(clk_for_ddr, w_ddr_dqs, { 1'b0, 1'b1 },
464
                { w_dqs_ignore[0], w_dqs_ignore[1] },
465
                io_ddr_dqs_p[0], io_ddr_dqs_n[0]);
466
        xioddrds        dqs1(clk_for_ddr, w_ddr_dqs, { 1'b0, 1'b1 },
467
                { w_dqs_ignore[2], w_dqs_ignore[3] },
468
                io_ddr_dqs_p[1], io_ddr_dqs_n[1]);
469 3 dgisselq
 
470 12 dgisselq
        xoddr   xcs_n( i_clk, { w_ddr_cs_n,  w_ddr_cs_n  }, o_ddr_cs_n);
471
        xoddr   xras_n(i_clk, { w_ddr_ras_n, w_ddr_ras_n }, o_ddr_ras_n);
472
        xoddr   xcas_n(i_clk, { w_ddr_cas_n, w_ddr_cas_n }, o_ddr_cas_n);
473
        xoddr   xwe_n( i_clk, { w_ddr_we_n,  w_ddr_we_n  }, o_ddr_we_n);
474
        xoddr   xba0(  i_clk, { w_ddr_ba[0], w_ddr_ba[0]  }, o_ddr_ba[0]);
475
        xoddr   xba1(  i_clk, { w_ddr_ba[1], w_ddr_ba[1]  }, o_ddr_ba[1]);
476
        xoddr   xba2(  i_clk, { w_ddr_ba[2], w_ddr_ba[2]  }, o_ddr_ba[2]);
477
        xoddr   xaddr0(i_clk, { w_ddr_addr[0], w_ddr_addr[0] }, o_ddr_addr[0]);
478
        xoddr   xaddr1(i_clk, { w_ddr_addr[1], w_ddr_addr[1] }, o_ddr_addr[1]);
479
        xoddr   xaddr2(i_clk, { w_ddr_addr[2], w_ddr_addr[2] }, o_ddr_addr[2]);
480
        xoddr   xaddr3(i_clk, { w_ddr_addr[3], w_ddr_addr[3] }, o_ddr_addr[3]);
481
        xoddr   xaddr4(i_clk, { w_ddr_addr[4], w_ddr_addr[4] }, o_ddr_addr[4]);
482
        xoddr   xaddr5(i_clk, { w_ddr_addr[5], w_ddr_addr[5] }, o_ddr_addr[5]);
483
        xoddr   xaddr6(i_clk, { w_ddr_addr[6], w_ddr_addr[6] }, o_ddr_addr[6]);
484
        xoddr   xaddr7(i_clk, { w_ddr_addr[7], w_ddr_addr[7] }, o_ddr_addr[7]);
485
        xoddr   xaddr8(i_clk, { w_ddr_addr[8], w_ddr_addr[8] }, o_ddr_addr[8]);
486
        xoddr   xaddr9(i_clk, { w_ddr_addr[9], w_ddr_addr[9] }, o_ddr_addr[9]);
487
        xoddr   xaddr10(i_clk,{ w_ddr_addr[10],w_ddr_addr[10]}, o_ddr_addr[10]);
488
        xoddr   xaddr11(i_clk,{ w_ddr_addr[11],w_ddr_addr[11]}, o_ddr_addr[11]);
489
        xoddr   xaddr12(i_clk,{ w_ddr_addr[12],w_ddr_addr[12]}, o_ddr_addr[12]);
490
        xoddr   xaddr13(i_clk,{ w_ddr_addr[13],w_ddr_addr[13]}, o_ddr_addr[13]);
491
 
492
        wire    w_clk_for_ddr;
493
        ODDR    #(.DDR_CLK_EDGE("SAME_EDGE"))
494
                memclkddr(.Q(w_clk_for_ddr), .C(clk_for_ddr), .CE(1'b1),
495
                        .D1(1'b0), .D2(1'b1), .R(1'b0), .S(1'b0));
496 3 dgisselq
        OBUFDS  #(.IOSTANDARD("DIFF_SSTL135"), .SLEW("FAST"))
497 12 dgisselq
                clkbuf(.O(o_ddr_ck_p), .OB(o_ddr_ck_n), .I(w_clk_for_ddr));
498 3 dgisselq
 
499 12 dgisselq
        // assign       o_ddr_dm[0] = w_ddr_dm;
500
        // assign       o_ddr_dm[1] = w_ddr_dm;
501
        xoddr   xdm0(i_clk,{ w_ddr_dm, w_ddr_dm }, o_ddr_dm[0]);
502
        xoddr   xdm1(i_clk,{ w_ddr_dm, w_ddr_dm }, o_ddr_dm[1]);
503 3 dgisselq
 
504 12 dgisselq
        assign  o_ddr_odt = (~o_ddr_reset_n)? 1'bz : w_ddr_odt;
505
 
506
        // xlogicanalyzer ladata(i_clk, io_ddr_data[0], w_ddr_debug[3:0]);
507
        // xlogicanalyzer ladclk(clk_analyzer, clk_analyzer_b,
508
                // i_clk, o_ddr_ck_p, w_ddr_debug[7:4]);
509
        assign w_ddr_debug[7:4] = 4'h0;
510
        assign w_ddr_debug[3:0] = 4'h0;
511
`else
512
        assign  o_ddr_cs_n = w_ddr_cs_n;
513
        assign  o_ddr_ras_n = w_ddr_ras_n;
514
        assign  o_ddr_cas_n = w_ddr_cas_n;
515
        assign  o_ddr_we_n = w_ddr_we_n;
516
        //
517
        assign  o_ddr_ba = w_ddr_ba;
518
        assign  o_ddr_addr = w_ddr_addr;
519
        //
520
        assign  o_ddr_dm[1:0] = 2'b00;
521
        assign  o_ddr_odt     = 1'b0;
522
        //
523
        assign  io_ddr_data = 16'bzzzz_zzzz_zzzz_zzzz;
524
        always @(posedge i_clk)
525
                r_ddr_data = 16'h0000;
526
 
527
        //wire  w_clk_for_ddr;
528
        //ODDR  #(.DDR_CLK_EDGE("SAME_EDGE"))
529
                //memclkddr(.Q(w_clk_for_ddr), .C(clk_for_ddr), .CE(1'b1),
530
                        //.D1(1'b0), .D2(1'b1), .R(1'b0), .S(1'b0));
531
        OBUFDS  #(.IOSTANDARD("DIFF_SSTL135"), .SLEW("FAST"))
532
                clkbuf(.O(o_ddr_ck_p), .OB(o_ddr_ck_n), .I(1'b1));
533
 
534
        wire    [7:0]    w_dqs_ignore;
535
        xioddrds        dqs0(clk_for_ddr, w_ddr_dqs, { 1'b0, 1'b1 },
536
                { w_dqs_ignore[0], w_dqs_ignore[1] },
537
                io_ddr_dqs_p[0], io_ddr_dqs_n[0]);
538
        xioddrds        dqs1(clk_for_ddr, w_ddr_dqs, { 1'b0, 1'b1 },
539
                { w_dqs_ignore[2], w_dqs_ignore[3] },
540
                io_ddr_dqs_p[1], io_ddr_dqs_n[1]);
541
 
542
 
543
`endif
544
 
545 3 dgisselq
endmodule
546
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.