OpenCores
URL https://opencores.org/ocsvn/openarty/openarty/trunk

Subversion Repositories openarty

[/] [openarty/] [trunk/] [rtl/] [fasttop.v] - Blame information for rev 24

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 dgisselq
////////////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    fasttop.v
4
//
5
// Project:     OpenArty, an entirely open SoC based upon the Arty platform
6
//
7
// Purpose:     This is the top level Verilog file.  It is so named as fasttop,
8
//              because my purpose will be to run the Arty at 200MHz, just to
9
//      prove that I can get it up to that frequency.
10
//
11
// Creator:     Dan Gisselquist, Ph.D.
12
//              Gisselquist Technology, LLC
13
//
14
////////////////////////////////////////////////////////////////////////////////
15
//
16
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
17
//
18
// This program is free software (firmware): you can redistribute it and/or
19
// modify it under the terms of  the GNU General Public License as published
20
// by the Free Software Foundation, either version 3 of the License, or (at
21
// your option) any later version.
22
//
23
// This program is distributed in the hope that it will be useful, but WITHOUT
24
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
25
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
26
// for more details.
27
//
28
// You should have received a copy of the GNU General Public License along
29
// with this program.  (It's in the $(ROOT)/doc directory, run make with no
30
// target there if the PDF file isn't present.)  If not, see
31
// <http://www.gnu.org/licenses/> for a copy.
32
//
33
// License:     GPL, v3, as defined and found on www.gnu.org,
34
//              http://www.gnu.org/licenses/gpl.html
35
//
36
//
37
////////////////////////////////////////////////////////////////////////////////
38
//
39
//
40
module fasttop(i_clk_100mhz, i_reset_btn,
41
        i_sw,                   // Switches
42
        i_btn,                  // Buttons
43
        o_led,                  // Single color LEDs
44
        o_clr_led0, o_clr_led1, o_clr_led2, o_clr_led3, // Color LEDs
45
        // RS232 UART
46
        i_uart_rx, o_uart_tx,
47
        // Quad-SPI Flash control
48
        o_qspi_sck, o_qspi_cs_n, io_qspi_dat,
49
        // Missing: Ethernet
50
        o_eth_mdclk, io_eth_mdio,
51
        // Memory
52
        o_ddr_reset_n, o_ddr_cke, o_ddr_ck_p, o_ddr_ck_n,
53
        o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n, o_ddr_we_n,
54
        io_ddr_dqs_p, io_ddr_dqs_n,
55
        o_ddr_addr, o_ddr_ba,
56
        io_ddr_data, o_ddr_dm, o_ddr_odt,
57
        // SD Card
58
        o_sd_sck, io_sd_cmd, io_sd, i_sd_cs, i_sd_wp,
59
        // GPS Pmod
60
        i_gps_pps, i_gps_3df, i_gps_rx, o_gps_tx,
61
        // OLED Pmod
62
        o_oled_sck, o_oled_cs_n, o_oled_mosi, o_oled_dcn, o_oled_reset_n,
63
                o_oled_vccen, o_oled_pmoden,
64
        // PMod I/O
65
        i_aux_rx, i_aux_rts, o_aux_tx, o_aux_cts
66
        );
67
        input                   i_clk_100mhz, i_reset_btn;
68
        input           [3:0]    i_sw;   // Switches
69
        input           [3:0]    i_btn;  // Buttons
70
        output  wire    [3:0]    o_led;  // LED
71
        output  wire    [2:0]    o_clr_led0, o_clr_led1, o_clr_led2, o_clr_led3;
72
        // UARTs
73
        input                   i_uart_rx;
74
        output  wire            o_uart_tx;
75
        // Quad SPI flash
76
        output  wire            o_qspi_sck, o_qspi_cs_n;
77
        inout   [3:0]            io_qspi_dat;
78
        // Ethernet // Not yet implemented
79
        // Ethernet control (MDIO)
80
        output  wire            o_eth_mdclk;
81
        inout   wire            io_eth_mdio;
82
        // DDR3 SDRAM
83
        output  wire            o_ddr_reset_n;
84
        output  wire            o_ddr_cke;
85
        output  wire            o_ddr_ck_p, o_ddr_ck_n;
86
        output  wire            o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n, o_ddr_we_n;
87
        inout           [1:0]    io_ddr_dqs_p, io_ddr_dqs_n;
88
        output  wire    [13:0]   o_ddr_addr;
89
        output  wire    [2:0]    o_ddr_ba;
90
        inout           [15:0]   io_ddr_data;
91
        //
92
        output  wire    [1:0]    o_ddr_dm;
93
        output  wire            o_ddr_odt;
94
        // SD Card
95
        output  wire            o_sd_sck;
96
        inout                   io_sd_cmd;
97
        inout           [3:0]    io_sd;
98
        input                   i_sd_cs;
99
        input                   i_sd_wp;
100
        // GPS PMod
101
        input                   i_gps_pps, i_gps_3df, i_gps_rx;
102
        output  wire            o_gps_tx;
103
        // OLEDRGB PMod
104
        output  wire            o_oled_sck, o_oled_cs_n, o_oled_mosi,
105
                                o_oled_dcn, o_oled_reset_n, o_oled_vccen,
106
                                o_oled_pmoden;
107
        // Aux UART
108
        input                   i_aux_rx, i_aux_rts;
109
        output  wire            o_aux_tx, o_aux_cts;
110
 
111 12 dgisselq
`define FULLCLOCK
112 3 dgisselq
        // Build our master clock
113 24 dgisselq
        wire    i_clk, clk_for_ddr, mem_serial_clk, mem_serial_clk_inv,
114
                enet_clk, clk_halfspeed, clk_feedback, clk_locked, clk_unused;
115 3 dgisselq
        PLLE2_BASE      #(
116
                .BANDWIDTH("OPTIMIZED"),        // OPTIMIZED, HIGH, LOW
117 24 dgisselq
                .CLKFBOUT_PHASE(0.0),   // Phase off. in deg of CLKFB,(-360-360)
118
                .CLKIN1_PERIOD(10.0),   // Input clock period in ns resolution
119 3 dgisselq
`ifdef  FULLCLOCK
120 24 dgisselq
                // CLKOUT0_DIVIDE - CLKOUT5_DIVIDE:
121
                //      divide amount for each CLKOUT(1-128)
122 3 dgisselq
                .CLKFBOUT_MULT(8),      // Multiply value for all CLKOUT (2-64)
123
                .CLKOUT0_DIVIDE(4),     // 200 MHz
124 24 dgisselq
                .CLKOUT1_DIVIDE(1),     // 800 MHz clock for DDR memory
125
                .CLKOUT2_DIVIDE(1),     // 800 MHz clock to run DDR I/O
126
                .CLKOUT3_DIVIDE(1),     // 800MHz clk inv to run DDR I/O
127
                .CLKOUT4_DIVIDE(8),     // 100 MHz
128
                .CLKOUT5_DIVIDE(32),    //  25 MHz
129 3 dgisselq
`else
130
                // 100*64/40 = 160 -- the fastest speed where the UART will 
131
                // still work at 4MBaud.  Others will still support 115200
132
                // Baud
133
                // 100*64/36 = 177.78
134
                // 100*64/34 = 188.24
135
                // 100*64/33 = 193.94
136
                .CLKFBOUT_MULT(8),      // Multiply value for all CLKOUT (2-64)
137
                .CLKOUT0_DIVIDE(5),     // 160 MHz
138 12 dgisselq
                .CLKOUT1_DIVIDE(5),     // 160 MHz //Clock too slow for DDR mem
139 24 dgisselq
                .CLKOUT2_DIVIDE(5),     // 160 MHz // Clock too slow for DDR
140
                .CLKOUT3_DIVIDE(5),     // 160 MHz // Clock too slow for DDR
141
                .CLKOUT4_DIVIDE(20),    //  40 MHz
142
                .CLKOUT5_DIVIDE(5),
143 3 dgisselq
`endif
144
                // CLKOUT0_DUTY_CYCLE -- Duty cycle for each CLKOUT
145
                .CLKOUT0_DUTY_CYCLE(0.5),
146
                .CLKOUT1_DUTY_CYCLE(0.5),
147
                .CLKOUT2_DUTY_CYCLE(0.5),
148
                .CLKOUT3_DUTY_CYCLE(0.5),
149
                .CLKOUT4_DUTY_CYCLE(0.5),
150
                .CLKOUT5_DUTY_CYCLE(0.5),
151
                // CLKOUT0_PHASE -- phase offset for each CLKOUT
152
                .CLKOUT0_PHASE(0.0),
153 12 dgisselq
                .CLKOUT1_PHASE(270.0),
154 3 dgisselq
                .CLKOUT2_PHASE(0.0),
155 24 dgisselq
                .CLKOUT3_PHASE(180.0),
156 3 dgisselq
                .CLKOUT4_PHASE(0.0),
157 24 dgisselq
                .CLKOUT5_PHASE(0.0),
158 3 dgisselq
                .DIVCLK_DIVIDE(1),      // Master division value , (1-56)
159 24 dgisselq
                .REF_JITTER1(0.0),      // Ref. input jitter in UI (0.000-0.999)
160
                .STARTUP_WAIT("TRUE")   // Delay DONE until PLL Locks, ("TRUE"/"FALSE")
161 3 dgisselq
        ) genclock(
162
                // Clock outputs: 1-bit (each) output
163
                .CLKOUT0(i_clk),
164
                .CLKOUT1(clk_for_ddr),
165 24 dgisselq
                .CLKOUT2(mem_serial_clk),
166
                .CLKOUT3(mem_serial_clk_inv),
167
                .CLKOUT4(clk_unused),
168
                .CLKOUT5(enet_clk),
169 3 dgisselq
                .CLKFBOUT(clk_feedback), // 1-bit output, feedback clock
170
                .LOCKED(clk_locked),
171
                .CLKIN1(i_clk_100mhz),
172
                .PWRDWN(1'b0),
173
                .RST(1'b0),
174
                .CLKFBIN(clk_feedback)  // 1-bit input, feedback clock
175
        );
176
 
177
        // UART interface
178
        wire    [29:0]   bus_uart_setup;
179
`ifdef  FULLCLOCK
180
        assign          bus_uart_setup = 30'h10000032; // 4MBaud, 7 bits
181
`else
182
        assign          bus_uart_setup = 30'h10000028;//4MBaud,7 bits,@160MHzClk
183
        //assign        bus_uart_setup = 30'h10000019;//4MBaud,7 bits,@100MHzClk
184
`endif
185
 
186
        wire    [7:0]    rx_data, tx_data;
187
        wire            rx_break, rx_parity_err, rx_frame_err, rx_stb;
188
        wire            tx_stb, tx_busy;
189
 
190 24 dgisselq
        //
191
        // RESET LOGIC
192
        //
193
        // Okay, so this looks bad at a first read--but it's not really that
194
        // bad.  If you look close, there are two parts to the reset logic.
195
        // The first is the "PRE"-reset.  This is a wire, set from the external
196
        // reset button.  In good old-fashioned asynch-logic to synchronous
197
        // logic fashion, we synchronize this wire by registering it first
198
        // to pre_reset, and then to pwr_reset (the actual reset wire).
199
        //
200 3 dgisselq
        reg     pwr_reset, pre_reset;
201 24 dgisselq
        //
202
        // Logic description starts with the PRE-reset, so as to make certain
203
        // we include the reset button
204 3 dgisselq
        initial pre_reset = 1'b0;
205
        always @(posedge i_clk)
206
                pre_reset <= ~i_reset_btn;
207 24 dgisselq
        //
208
        // and then continues with the actual reset, now that we've
209
        // synchronized our reset button wire.
210
        initial pwr_reset = 1'b1;
211 3 dgisselq
        always @(posedge i_clk)
212
                pwr_reset <= pre_reset;
213
 
214
        wire    w_ck_uart, w_uart_tx;
215
        rxuart  rcv(i_clk, pwr_reset, bus_uart_setup, i_uart_rx,
216
                                rx_stb, rx_data, rx_break,
217
                                rx_parity_err, rx_frame_err, w_ck_uart);
218
        txuart  txv(i_clk, pwr_reset, bus_uart_setup, 1'b0,
219
                                tx_stb, tx_data, o_uart_tx, tx_busy);
220
 
221
 
222
 
223
 
224 24 dgisselq
`ifdef  SDRAM_ACCESS
225
///
226
///
227
/// The following lines are included from ddr3insert.v.
228
///
229
        wire            w_ddr_reset_n, w_ddr_cke, w_ddr_bus_oe;
230
        wire    [26:0]   w_ddr_cmd_a, w_ddr_cmd_b;
231
        wire    [63:0]   wi_ddr_data, wo_ddr_data;
232
        wire    [127:0]  wide_ddr_data;
233 3 dgisselq
 
234 24 dgisselq
        //
235
        //
236
        // Wires for setting up the DDR3 memory
237
        //
238
        //
239 3 dgisselq
 
240 24 dgisselq
        // First, let's set up the clock(s)
241
        xoddrserdesb ddrclk(mem_serial_clk, i_clk, pwr_reset, 8'h66,
242
                o_ddr_ck_p, o_ddr_ck_n);
243
 
244
        wire    [7:0]    w_udqs_in, w_ldqs_in;
245
 
246
        xioddrserdesb ddrudqs(mem_serial_clk, mem_serial_clk_inv, i_clk,
247
                        ~w_ddr_reset_n, w_ddr_cmd_a[0],
248
                        (w_ddr_cmd_b[0])? 8'h66 : 8'h06,
249
                        w_udqs_in,
250
                        io_ddr_dqs_p[1], io_ddr_dqs_n[1]);
251
 
252
        xioddrserdesb ddrldqs(mem_serial_clk, mem_serial_clk_inv, i_clk,
253
                        ~w_ddr_reset_n, w_ddr_cmd_a[0],
254
                        (w_ddr_cmd_b[0])? 8'h66 : 8'h06,
255
                        w_ldqs_in,
256
                        io_ddr_dqs_p[0], io_ddr_dqs_n[0]);
257
 
258
        // The command wires: CS_N, RAS_N, CAS_N, and WE_N
259
        xoddrserdes ddrcsn(mem_serial_clk, i_clk, ~w_ddr_reset_n,
260
                { w_ddr_cmd_a[26], w_ddr_cmd_a[26],
261
                  w_ddr_cmd_a[26], w_ddr_cmd_a[26],
262
                  w_ddr_cmd_b[26], w_ddr_cmd_b[26],
263
                  w_ddr_cmd_b[26], w_ddr_cmd_b[26] }, o_ddr_cs_n);
264
 
265
        xoddrserdes ddrrasn(mem_serial_clk, i_clk, ~w_ddr_reset_n,
266
                { w_ddr_cmd_a[25], w_ddr_cmd_a[25],
267
                  w_ddr_cmd_a[25], w_ddr_cmd_a[25],
268
                  w_ddr_cmd_b[25], w_ddr_cmd_b[25],
269
                  w_ddr_cmd_b[25], w_ddr_cmd_b[25] }, o_ddr_ras_n);
270
 
271
        xoddrserdes ddrcasn(mem_serial_clk, i_clk, ~w_ddr_reset_n,
272
                { w_ddr_cmd_a[24], w_ddr_cmd_a[24],
273
                  w_ddr_cmd_a[24], w_ddr_cmd_a[24],
274
                  w_ddr_cmd_b[24], w_ddr_cmd_b[24],
275
                  w_ddr_cmd_b[24], w_ddr_cmd_b[24] }, o_ddr_cas_n);
276
 
277
        xoddrserdes ddrwen(mem_serial_clk, i_clk, ~w_ddr_reset_n,
278
                { w_ddr_cmd_a[23], w_ddr_cmd_a[23],
279
                  w_ddr_cmd_a[23], w_ddr_cmd_a[23],
280
                  w_ddr_cmd_b[23], w_ddr_cmd_b[23],
281
                  w_ddr_cmd_b[23], w_ddr_cmd_b[23] }, o_ddr_we_n);
282
 
283
        // Data mask wires, first the upper byte
284
        xoddrserdes ddrudm(mem_serial_clk, i_clk, ~w_ddr_reset_n,
285
                { w_ddr_cmd_a[4], w_ddr_cmd_a[4],
286
                  w_ddr_cmd_a[2], w_ddr_cmd_a[2],
287
                  w_ddr_cmd_b[4], w_ddr_cmd_b[4],
288
                  w_ddr_cmd_b[2], w_ddr_cmd_b[2] }, o_ddr_dm[1]);
289
        // then the lower byte
290
        xoddrserdes ddrldm(mem_serial_clk, i_clk, ~w_ddr_reset_n,
291
                { w_ddr_cmd_a[3], w_ddr_cmd_a[3],
292
                  w_ddr_cmd_a[1], w_ddr_cmd_a[1],
293
                  w_ddr_cmd_b[3], w_ddr_cmd_b[3],
294
                  w_ddr_cmd_b[1], w_ddr_cmd_b[1] }, o_ddr_dm[0]);
295
 
296
        // and the On-Die termination wire
297
        xoddrserdes ddrodt(mem_serial_clk, i_clk, ~w_ddr_reset_n,
298
                { w_ddr_cmd_a[0], w_ddr_cmd_a[0],
299
                  w_ddr_cmd_a[0], w_ddr_cmd_a[0],
300
                  w_ddr_cmd_b[0], w_ddr_cmd_b[0],
301
                  w_ddr_cmd_b[0], w_ddr_cmd_b[0] }, o_ddr_odt);
302
 
303
        //
304
        // Now for the data, bank, and address wires
305
        //
306
        genvar  k;
307
        generate begin
308
        //
309
        for(k=0; k<16; k=k+1)
310
                xioddrserdes ddrdata(mem_serial_clk, mem_serial_clk_inv, i_clk, ~w_ddr_reset_n,
311
                                w_ddr_bus_oe,
312
                        { wo_ddr_data[48+k], wo_ddr_data[48+k],
313
                          wo_ddr_data[32+k], wo_ddr_data[32+k],
314
                          wo_ddr_data[16+k], wo_ddr_data[16+k],
315
                          wo_ddr_data[   k], wo_ddr_data[   k] },
316
                        { wide_ddr_data[112+k], wide_ddr_data[96+k],
317
                          wide_ddr_data[ 80+k], wide_ddr_data[64+k],
318
                          wide_ddr_data[ 48+k], wide_ddr_data[32+k],
319
                          wide_ddr_data[ 16+k], wide_ddr_data[   k] },
320
                        io_ddr_data[k]);
321
        //
322
        for(k=0; k<3; k=k+1)
323
                xoddrserdes ddrbank(mem_serial_clk, i_clk, ~w_ddr_reset_n,
324
                        { w_ddr_cmd_a[20+k], w_ddr_cmd_a[20+k],
325
                          w_ddr_cmd_a[20+k], w_ddr_cmd_a[20+k],
326
                          w_ddr_cmd_b[20+k], w_ddr_cmd_b[20+k],
327
                          w_ddr_cmd_b[20+k], w_ddr_cmd_b[20+k] },
328
                        o_ddr_ba[k]);
329
        //
330
        for(k=0; k<14; k=k+1)
331
                xoddrserdes ddraddr(mem_serial_clk, i_clk, ~w_ddr_reset_n,
332
                        { w_ddr_cmd_a[ 6+k], w_ddr_cmd_a[ 6+k],
333
                          w_ddr_cmd_a[ 6+k], w_ddr_cmd_a[ 6+k],
334
                          w_ddr_cmd_b[ 6+k], w_ddr_cmd_b[ 6+k],
335
                          w_ddr_cmd_b[ 6+k], w_ddr_cmd_b[ 6+k] },
336
                        o_ddr_addr[k]);
337
        //
338
 
339
        for(k=0; k<64; k=k+1)
340
                assign wi_ddr_data[k] = (w_ddr_bus_oe) ? wide_ddr_data[2*k+1]
341
                                        : wide_ddr_data[2*k];
342
        end endgenerate
343
 
344
        assign  o_ddr_reset_n = w_ddr_reset_n;
345
        assign  o_ddr_cke = w_ddr_cke;
346
 
347
 
348
///
349
///
350
///
351
///
352
`else
353
        wire            w_ddr_reset_n, w_ddr_cke, w_ddr_bus_oe;
354
        wire    [26:0]   w_ddr_cmd_a, w_ddr_cmd_b;
355
        wire    [63:0]   wi_ddr_data, wo_ddr_data;
356
        wire    [127:0]  wide_ddr_data;
357
 
358
        //
359
        //
360
        // Wires for setting up the DDR3 memory
361
        //
362
        //
363
 
364
        // Leave the SDRAM in a permanent state of reset
365
        assign  o_ddr_reset_n = 1'b0;
366
        // Leave the SDRAM clock ... disabled
367
        assign  o_ddr_cke = 1'b0;
368
 
369
        // Disable the clock(s)
370
        OBUFDS(.I(1'b0), .O(o_ddr_ck_p), .OB(o_ddr_ck_n));
371
        // And the data strobe
372
        OBUFDS(.I(1'b0), .O(io_ddr_dqs_p[0]), .OB(io_ddr_dqs_n[0]));
373
        OBUFDS(.I(1'b0), .O(io_ddr_dqs_p[1]), .OB(io_ddr_dqs_n[1]));
374
 
375
        // Output ... something, anything, on the address lines
376
        assign  o_ddr_cs_n  = 1'b1;     // Never enable any commands
377
        assign  o_ddr_ras_n = 1'b0;
378
        assign  o_ddr_cas_n = 1'b0;
379
        assign  o_ddr_we_n  = 1'b0;
380
        assign  o_ddr_ba    = 3'h0;
381
        assign  o_ddr_addr  = 14'h0;
382
        assign  o_ddr_dm    = 2'b00;
383
        assign  o_ddr_odt   = 1'b0;
384
 
385
        assign  io_ddr_data = 16'bzzzz_zzzz_zzzz_zzzz;
386
        assign  wi_ddr_data = io_ddr_data;
387
 
388
`endif
389
 
390
 
391 3 dgisselq
        //////
392
        //
393
        //
394
        // The WB bus interconnect, herein called fastmaster, which handles
395
        // just about ... everything.
396
        //
397
        //
398
        //////
399 13 dgisselq
        wire            w_qspi_sck, w_qspi_cs_n;
400 3 dgisselq
        wire    [1:0]    qspi_bmod;
401
        wire    [3:0]    qspi_dat;
402
        wire    [3:0]    i_qspi_dat;
403
 
404
        //
405
        wire            w_mdio, w_mdwe;
406
        //
407
        wire            w_sd_cmd;
408
        wire    [3:0]    w_sd_data;
409
        fastmaster      wbbus(i_clk, pwr_reset,
410
                // External USB-UART bus control
411
                rx_stb, rx_data, tx_stb, tx_data, tx_busy,
412
                // Board lights and switches
413
                i_sw, i_btn, o_led,
414
                o_clr_led0, o_clr_led1, o_clr_led2, o_clr_led3,
415
                // Board level PMod I/O
416
                i_aux_rx, o_aux_tx, o_aux_cts, i_gps_rx, o_gps_tx,
417
                // Quad SPI flash
418 13 dgisselq
                w_qspi_cs_n, w_qspi_sck, qspi_dat, i_qspi_dat, qspi_bmod,
419 3 dgisselq
                // DDR3 SDRAM
420 24 dgisselq
                w_ddr_reset_n, w_ddr_cke, w_ddr_bus_oe,
421
                w_ddr_cmd_a, w_ddr_cmd_b, wo_ddr_data, wi_ddr_data,
422 3 dgisselq
                // SD Card
423
                o_sd_sck, w_sd_cmd, w_sd_data, io_sd_cmd, io_sd, i_sd_cs,
424
                // Ethernet control (MDIO) lines
425
                o_eth_mdclk, w_mdio, w_mdwe, io_eth_mdio,
426
                // OLEDRGB PMod wires
427
                o_oled_sck, o_oled_cs_n, o_oled_mosi, o_oled_dcn,
428
                o_oled_reset_n, o_oled_vccen, o_oled_pmoden,
429
                // GPS PMod
430
                i_gps_pps, i_gps_3df
431
                );
432
 
433
        //////
434
        //
435
        //
436
        // Some wires need special treatment, and so are not quite completely
437
        // handled by the bus master.  These are handled below.
438
        //
439
        //
440
        //////
441
 
442
        //
443
        //
444
        // QSPI)BMOD, Quad SPI bus mode, Bus modes are:
445
        //      0?      Normal serial mode, one bit in one bit out
446
        //      10      Quad SPI mode, going out
447
        //      11      Quad SPI mode coming from the device (read mode)
448
        //
449
        //      ??      Dual mode in  (not yet)
450
        //      ??      Dual mode out (not yet)
451
        //
452
        //
453 13 dgisselq
// `define      QSPI_OUT_VERSION_ONE
454 12 dgisselq
`ifdef  QSPI_OUT_VERSION_ONE
455 3 dgisselq
        assign io_qspi_dat = (~qspi_bmod[1])?({2'b11,1'bz,qspi_dat[0]})
456
                                :((qspi_bmod[0])?(4'bzzzz):(qspi_dat[3:0]));
457
        assign  i_qspi_dat = io_qspi_dat;
458
        assign  o_qspi_sck = w_qspi_sck;
459 12 dgisselq
`else
460 13 dgisselq
        wire    [3:0]    i_qspi_pedge, i_qspi_nedge;
461 12 dgisselq
 
462
        xoddr   xqspi_sck( i_clk, { w_qspi_sck,  w_qspi_sck }, o_qspi_sck);
463 13 dgisselq
        xoddr   xqspi_csn( i_clk, { w_qspi_cs_n, w_qspi_cs_n },o_qspi_cs_n);
464 12 dgisselq
        //
465 13 dgisselq
        xioddr  xqspi_d0(  i_clk, (qspi_bmod != 2'b11),
466 3 dgisselq
                { qspi_dat[0], qspi_dat[0] },
467 13 dgisselq
                { i_qspi_pedge[0], i_qspi_nedge[0] }, io_qspi_dat[0]);
468 12 dgisselq
        xioddr  xqspi_d1(  i_clk, (qspi_bmod==2'b10),
469 3 dgisselq
                { qspi_dat[1], qspi_dat[1] },
470 13 dgisselq
                { i_qspi_pedge[1], i_qspi_nedge[1] }, io_qspi_dat[1]);
471 12 dgisselq
        xioddr  xqspi_d2(  i_clk, (qspi_bmod!=2'b11),
472
                (qspi_bmod[1])?{ qspi_dat[2], qspi_dat[2] }:2'b11,
473 13 dgisselq
                { i_qspi_pedge[2], i_qspi_nedge[2] }, io_qspi_dat[2]);
474 12 dgisselq
        xioddr  xqspi_d3(  i_clk, (qspi_bmod!=2'b11),
475
                (qspi_bmod[1])?{ qspi_dat[3], qspi_dat[3] }:2'b11,
476 13 dgisselq
                { i_qspi_pedge[3], i_qspi_nedge[3] }, io_qspi_dat[3]);
477
 
478
        assign  i_qspi_dat = i_qspi_pedge;
479 12 dgisselq
`endif
480 3 dgisselq
 
481
        //
482
        //
483
        // Wires for setting up the SD Card Controller
484
        //
485
        //
486
        assign io_sd_cmd = w_sd_cmd ? 1'bz:1'b0;
487
        assign io_sd[0] = w_sd_data[0]? 1'bz:1'b0;
488
        assign io_sd[1] = w_sd_data[1]? 1'bz:1'b0;
489
        assign io_sd[2] = w_sd_data[2]? 1'bz:1'b0;
490
        assign io_sd[3] = w_sd_data[3]? 1'bz:1'b0;
491
 
492
 
493
        //
494
        //
495
        // Wire(s) for setting up the MDIO ethernet control structure
496
        //
497
        //
498
        assign  io_eth_mdio = (w_mdwe)?w_mdio : 1'bz;
499
 
500
        //
501
        //
502
        // Wires for setting up the DDR3 memory
503
        //
504
        //
505
 
506 24 dgisselq
/*
507 12 dgisselq
        wire    w_clk_for_ddr;
508
        ODDR    #(.DDR_CLK_EDGE("SAME_EDGE"))
509
                memclkddr(.Q(w_clk_for_ddr), .C(clk_for_ddr), .CE(1'b1),
510
                        .D1(1'b0), .D2(1'b1), .R(1'b0), .S(1'b0));
511 3 dgisselq
        OBUFDS  #(.IOSTANDARD("DIFF_SSTL135"), .SLEW("FAST"))
512 12 dgisselq
                clkbuf(.O(o_ddr_ck_p), .OB(o_ddr_ck_n), .I(w_clk_for_ddr));
513 24 dgisselq
*/
514 3 dgisselq
 
515
endmodule
516
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.