OpenCores
URL https://opencores.org/ocsvn/openjtag-project/openjtag-project/trunk

Subversion Repositories openjtag-project

[/] [openjtag-project/] [trunk/] [OpenJTAG/] [Quartus_II/] [Open_JTAG.tan.summary] - Blame information for rev 18

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 rmileca
--------------------------------------------------------------------------------------
2
Timing Analyzer Summary
3
--------------------------------------------------------------------------------------
4
 
5
Type           : Worst-case tsu
6
Slack          : N/A
7
Required Time  : None
8
Actual Time    : 1.440 ns
9
From           : txe
10
To             : serializer:inst2|ssm[2]
11
From Clock     : --
12
To Clock       : clk
13
Failed Paths   : 0
14
 
15
Type           : Worst-case tco
16
Slack          : N/A
17
Required Time  : None
18
Actual Time    : 15.899 ns
19
From           : tap_sm:inst|tms
20
To             : tms
21
From Clock     : clk
22
To Clock       : --
23
Failed Paths   : 0
24
 
25
Type           : Worst-case th
26
Slack          : N/A
27
Required Time  : None
28
Actual Time    : 4.193 ns
29
From           : db[6]
30
To             : serializer:inst2|rbyte[6]
31
From Clock     : --
32
To Clock       : clk
33
Failed Paths   : 0
34
 
35
Type           : Clock Setup: 'clk'
36
Slack          : N/A
37
Required Time  : None
38
Actual Time    : 78.31 MHz ( period = 12.770 ns )
39
From           : serializer:inst2|cks[0]
40
To             : clock_mux:inst1|wcks
41
From Clock     : clk
42
To Clock       : clk
43
Failed Paths   : 0
44
 
45
Type           : Total number of failed paths
46
Slack          :
47
Required Time  :
48
Actual Time    :
49
From           :
50
To             :
51
From Clock     :
52
To Clock       :
53
Failed Paths   : 0
54
 
55
--------------------------------------------------------------------------------------
56
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.