OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [core/] [rtl/] [verilog/] [omsp_clock_module.v] - Blame information for rev 103

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 olivier.gi
//----------------------------------------------------------------------------
2
// Copyright (C) 2001 Authors
3
//
4
// This source file may be used and distributed without restriction provided
5
// that this copyright statement is not removed from the file and that any
6
// derivative work contains the original copyright notice and the associated
7
// disclaimer.
8
//
9
// This source file is free software; you can redistribute it and/or modify
10
// it under the terms of the GNU Lesser General Public License as published
11
// by the Free Software Foundation; either version 2.1 of the License, or
12
// (at your option) any later version.
13
//
14
// This source is distributed in the hope that it will be useful, but WITHOUT
15
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
16
// FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public
17
// License for more details.
18
//
19
// You should have received a copy of the GNU Lesser General Public License
20
// along with this source; if not, write to the Free Software Foundation,
21
// Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
22
//
23
//----------------------------------------------------------------------------
24
//
25 34 olivier.gi
// *File Name: omsp_clock_module.v
26 2 olivier.gi
// 
27
// *Module Description:
28
//                       Basic clock module implementation.
29
//                      Since the openMSP430 mainly targets FPGA and hobby
30
//                     designers. The clock structure has been greatly
31
//                     symplified in order to ease integration.
32
//                      See online wiki for more info.
33
//
34
// *Author(s):
35
//              - Olivier Girard,    olgirard@gmail.com
36
//
37
//----------------------------------------------------------------------------
38 17 olivier.gi
// $Rev: 103 $
39
// $LastChangedBy: olivier.girard $
40
// $LastChangedDate: 2011-03-05 15:44:48 +0100 (Sat, 05 Mar 2011) $
41
//----------------------------------------------------------------------------
42 103 olivier.gi
`ifdef OMSP_NO_INCLUDE
43
`else
44 23 olivier.gi
`include "openMSP430_defines.v"
45 103 olivier.gi
`endif
46 2 olivier.gi
 
47 34 olivier.gi
module  omsp_clock_module (
48 2 olivier.gi
 
49
// OUTPUTs
50
    aclk_en,                      // ACLK enable
51
    mclk,                         // Main system clock
52
    per_dout,                     // Peripheral data output
53
    por,                          // Power-on reset
54
    puc,                          // Main system reset
55
    smclk_en,                     // SMCLK enable
56
 
57
// INPUTs
58
    dbg_reset,                    // Reset CPU from debug interface
59
    dco_clk,                      // Fast oscillator (fast clock)
60
    lfxt_clk,                     // Low frequency oscillator (typ 32kHz)
61
    oscoff,                       // Turns off LFXT1 clock input
62
    per_addr,                     // Peripheral address
63
    per_din,                      // Peripheral data input
64
    per_en,                       // Peripheral enable (high active)
65
    per_wen,                      // Peripheral write enable (high active)
66
    reset_n,                      // Reset Pin (low active)
67
    scg1,                         // System clock generator 1. Turns off the SMCLK
68
    wdt_reset                     // Watchdog-timer reset
69
);
70
 
71
// OUTPUTs
72
//=========
73
output              aclk_en;      // ACLK enable
74
output              mclk;         // Main system clock
75
output       [15:0] per_dout;     // Peripheral data output
76
output              por;          // Power-on reset
77
output              puc;          // Main system reset
78
output              smclk_en;     // SMCLK enable
79
 
80
// INPUTs
81
//=========
82
input               dbg_reset;    // Reset CPU from debug interface
83
input               dco_clk;      // Fast oscillator (fast clock)
84
input               lfxt_clk;     // Low frequency oscillator (typ 32kHz)
85
input               oscoff;       // Turns off LFXT1 clock input
86
input         [7:0] per_addr;     // Peripheral address
87
input        [15:0] per_din;      // Peripheral data input
88
input               per_en;       // Peripheral enable (high active)
89
input         [1:0] per_wen;      // Peripheral write enable (high active)
90
input               reset_n;      // Reset Pin (low active)
91
input               scg1;         // System clock generator 1. Turns off the SMCLK
92
input               wdt_reset;    // Watchdog-timer reset
93
 
94
 
95
//=============================================================================
96
// 1)  PARAMETER DECLARATION
97
//=============================================================================
98
 
99
// Register addresses
100
parameter           BCSCTL1    = 9'h057;
101
parameter           BCSCTL2    = 9'h058;
102
 
103
// Register one-hot decoder
104
parameter           BCSCTL1_D  = (256'h1 << (BCSCTL1 /2));
105
parameter           BCSCTL2_D  = (256'h1 << (BCSCTL2 /2));
106
 
107
 
108
//============================================================================
109
// 2)  REGISTER DECODER
110
//============================================================================
111
 
112
// Register address decode
113
reg  [255:0]  reg_dec;
114
always @(per_addr)
115
  case (per_addr)
116
    (BCSCTL1 /2):     reg_dec  =  BCSCTL1_D;
117
    (BCSCTL2 /2):     reg_dec  =  BCSCTL2_D;
118
    default     :     reg_dec  =  {256{1'b0}};
119
  endcase
120
 
121
// Read/Write probes
122
wire         reg_lo_write =  per_wen[0] & per_en;
123
wire         reg_hi_write =  per_wen[1] & per_en;
124
wire         reg_read     = ~|per_wen   & per_en;
125
 
126
// Read/Write vectors
127
wire [255:0] reg_hi_wr    = reg_dec & {256{reg_hi_write}};
128
wire [255:0] reg_lo_wr    = reg_dec & {256{reg_lo_write}};
129
wire [255:0] reg_rd       = reg_dec & {256{reg_read}};
130
 
131
 
132
//============================================================================
133
// 3) REGISTERS
134
//============================================================================
135
 
136
// BCSCTL1 Register
137
//--------------
138
reg  [7:0] bcsctl1;
139
wire       bcsctl1_wr  = BCSCTL1[0] ? reg_hi_wr[BCSCTL1/2] : reg_lo_wr[BCSCTL1/2];
140
wire [7:0] bcsctl1_nxt = BCSCTL1[0] ? per_din[15:8]        : per_din[7:0];
141
 
142
always @ (posedge mclk or posedge puc)
143
  if (puc)              bcsctl1  <=  8'h00;
144
  else if (bcsctl1_wr)  bcsctl1  <=  bcsctl1_nxt & 8'h30; // Mask unused bits
145
 
146
 
147
// BCSCTL2 Register
148
//--------------
149
reg  [7:0] bcsctl2;
150
wire       bcsctl2_wr  = BCSCTL2[0] ? reg_hi_wr[BCSCTL2/2] : reg_lo_wr[BCSCTL2/2];
151
wire [7:0] bcsctl2_nxt = BCSCTL2[0] ? per_din[15:8]        : per_din[7:0];
152
 
153
always @ (posedge mclk or posedge puc)
154
  if (puc)              bcsctl2  <=  8'h00;
155
  else if (bcsctl2_wr)  bcsctl2  <=  bcsctl2_nxt & 8'h0e; // Mask unused bits
156
 
157
 
158
//============================================================================
159
// 4) DATA OUTPUT GENERATION
160
//============================================================================
161
 
162
// Data output mux
163 85 olivier.gi
wire [15:0] bcsctl1_rd   = {8'h00, (bcsctl1  & {8{reg_rd[BCSCTL1/2]}})}  << (8 & {4{BCSCTL1[0]}});
164
wire [15:0] bcsctl2_rd   = {8'h00, (bcsctl2  & {8{reg_rd[BCSCTL2/2]}})}  << (8 & {4{BCSCTL2[0]}});
165 2 olivier.gi
 
166
wire [15:0] per_dout =  bcsctl1_rd   |
167
                        bcsctl2_rd;
168
 
169
 
170
//=============================================================================
171
// 5)  CLOCK GENERATION
172
//=============================================================================
173
 
174
// Synchronize LFXT_CLK & edge detection
175
//---------------------------------------
176
reg  [2:0] lfxt_clk_s;
177
 
178
always @ (posedge mclk or posedge puc)
179
  if (puc) lfxt_clk_s <=  3'b000;
180
  else     lfxt_clk_s <=  {lfxt_clk_s[1:0], lfxt_clk};
181
 
182
wire lfxt_clk_en = (lfxt_clk_s[1] & ~lfxt_clk_s[2]) & ~(oscoff & ~bcsctl2[`SELS]);
183
 
184
 
185
// Generate main system clock
186
//----------------------------
187
 
188
wire  mclk   =  dco_clk;
189
wire  mclk_n = !dco_clk;
190
 
191
 
192
// Generate ACLK
193
//----------------------------
194
 
195 85 olivier.gi
reg       aclk_en;
196 2 olivier.gi
reg [2:0] aclk_div;
197
 
198 85 olivier.gi
wire      aclk_en_nxt = lfxt_clk_en & ((bcsctl1[`DIVAx]==2'b00) ?  1'b1          :
199
                                       (bcsctl1[`DIVAx]==2'b01) ?  aclk_div[0]   :
200
                                       (bcsctl1[`DIVAx]==2'b10) ? &aclk_div[1:0] :
201
                                                                  &aclk_div[2:0]);
202
 
203 2 olivier.gi
always @ (posedge mclk or posedge puc)
204 85 olivier.gi
  if (puc)  aclk_en <=  1'b0;
205
  else      aclk_en <=  aclk_en_nxt;
206
 
207
always @ (posedge mclk or posedge puc)
208 2 olivier.gi
  if (puc)                                         aclk_div <=  3'h0;
209
  else if ((bcsctl1[`DIVAx]!=2'b00) & lfxt_clk_en) aclk_div <=  aclk_div+3'h1;
210
 
211 85 olivier.gi
 
212 2 olivier.gi
// Generate SMCLK
213
//----------------------------
214
 
215 85 olivier.gi
reg       smclk_en;
216 2 olivier.gi
reg [2:0] smclk_div;
217
 
218 85 olivier.gi
wire      smclk_in     = ~scg1 & (bcsctl2[`SELS] ? lfxt_clk_en : 1'b1);
219 2 olivier.gi
 
220 85 olivier.gi
wire      smclk_en_nxt = smclk_in & ((bcsctl2[`DIVSx]==2'b00) ?  1'b1           :
221
                                     (bcsctl2[`DIVSx]==2'b01) ?  smclk_div[0]   :
222
                                     (bcsctl2[`DIVSx]==2'b10) ? &smclk_div[1:0] :
223
                                                                &smclk_div[2:0]);
224 2 olivier.gi
 
225
always @ (posedge mclk or posedge puc)
226 85 olivier.gi
  if (puc)  smclk_en <=  1'b0;
227
  else      smclk_en <=  smclk_en_nxt;
228
 
229
always @ (posedge mclk or posedge puc)
230 2 olivier.gi
  if (puc)                                      smclk_div <=  3'h0;
231
  else if ((bcsctl2[`DIVSx]!=2'b00) & smclk_in) smclk_div <=  smclk_div+3'h1;
232
 
233
 
234
//=============================================================================
235
// 6)  RESET GENERATION
236
//=============================================================================
237
 
238
// Generate synchronized POR
239
wire      por_reset  =  !reset_n;
240
 
241
reg [1:0] por_s;
242
always @(posedge mclk_n or posedge por_reset)
243
  if (por_reset) por_s  <=  2'b11;
244
  else           por_s  <=  {por_s[0], 1'b0};
245
wire   por = por_s[1];
246
 
247
// Generate main system reset
248
wire      puc_reset  = por_reset | wdt_reset | dbg_reset;
249
 
250
reg [1:0] puc_s;
251
always @(posedge mclk_n or posedge puc_reset)
252
  if (puc_reset) puc_s  <=  2'b11;
253
  else           puc_s  <=  {puc_s[0], 1'b0};
254
wire   puc = puc_s[1];
255
 
256
 
257 34 olivier.gi
endmodule // omsp_clock_module
258 2 olivier.gi
 
259 103 olivier.gi
`ifdef OMSP_NO_INCLUDE
260
`else
261 33 olivier.gi
`include "openMSP430_undefines.v"
262 103 olivier.gi
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.