OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [core/] [rtl/] [verilog/] [openMSP430.v] - Blame information for rev 103

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 olivier.gi
//----------------------------------------------------------------------------
2
// Copyright (C) 2001 Authors
3
//
4
// This source file may be used and distributed without restriction provided
5
// that this copyright statement is not removed from the file and that any
6
// derivative work contains the original copyright notice and the associated
7
// disclaimer.
8
//
9
// This source file is free software; you can redistribute it and/or modify
10
// it under the terms of the GNU Lesser General Public License as published
11
// by the Free Software Foundation; either version 2.1 of the License, or
12
// (at your option) any later version.
13
//
14
// This source is distributed in the hope that it will be useful, but WITHOUT
15
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
16
// FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public
17
// License for more details.
18
//
19
// You should have received a copy of the GNU Lesser General Public License
20
// along with this source; if not, write to the Free Software Foundation,
21
// Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
22
//
23
//----------------------------------------------------------------------------
24
//
25
// *File Name: openMSP430.v
26
// 
27
// *Module Description:
28
//                       openMSP430 Top level file
29
//
30
// *Author(s):
31
//              - Olivier Girard,    olgirard@gmail.com
32
//
33
//----------------------------------------------------------------------------
34 17 olivier.gi
// $Rev: 103 $
35
// $LastChangedBy: olivier.girard $
36
// $LastChangedDate: 2011-03-05 15:44:48 +0100 (Sat, 05 Mar 2011) $
37
//----------------------------------------------------------------------------
38 103 olivier.gi
`ifdef OMSP_NO_INCLUDE
39
`else
40 23 olivier.gi
`include "openMSP430_defines.v"
41 103 olivier.gi
`endif
42 2 olivier.gi
 
43
module  openMSP430 (
44
 
45
// OUTPUTs
46 33 olivier.gi
    aclk_en,                       // ACLK enable
47
    dbg_freeze,                    // Freeze peripherals
48
    dbg_uart_txd,                  // Debug interface: UART TXD
49
    dmem_addr,                     // Data Memory address
50
    dmem_cen,                      // Data Memory chip enable (low active)
51
    dmem_din,                      // Data Memory data input
52
    dmem_wen,                      // Data Memory write enable (low active)
53
    irq_acc,                       // Interrupt request accepted (one-hot signal)
54
    mclk,                          // Main system clock
55
    per_addr,                      // Peripheral address
56
    per_din,                       // Peripheral data input
57
    per_wen,                       // Peripheral write enable (high active)
58
    per_en,                        // Peripheral enable (high active)
59
    pmem_addr,                     // Program Memory address
60
    pmem_cen,                      // Program Memory chip enable (low active)
61
    pmem_din,                      // Program Memory data input (optional)
62
    pmem_wen,                      // Program Memory write enable (low active) (optional)
63
    puc,                           // Main system reset
64
    smclk_en,                      // SMCLK enable
65 2 olivier.gi
 
66
// INPUTs
67 33 olivier.gi
    dbg_uart_rxd,                  // Debug interface: UART RXD
68
    dco_clk,                       // Fast oscillator (fast clock)
69
    dmem_dout,                     // Data Memory data output
70
    irq,                           // Maskable interrupts
71
    lfxt_clk,                      // Low frequency oscillator (typ 32kHz)
72
    nmi,                           // Non-maskable interrupt (asynchronous)
73
    per_dout,                      // Peripheral data output
74
    pmem_dout,                     // Program Memory data output
75
    reset_n                        // Reset Pin (low active)
76 2 olivier.gi
);
77
 
78
// OUTPUTs
79
//=========
80 33 olivier.gi
output               aclk_en;      // ACLK enable
81
output               dbg_freeze;   // Freeze peripherals
82
output               dbg_uart_txd; // Debug interface: UART TXD
83
output [`DMEM_MSB:0] dmem_addr;    // Data Memory address
84
output               dmem_cen;     // Data Memory chip enable (low active)
85
output        [15:0] dmem_din;     // Data Memory data input
86
output         [1:0] dmem_wen;     // Data Memory write enable (low active)
87
output        [13:0] irq_acc;      // Interrupt request accepted (one-hot signal)
88
output               mclk;         // Main system clock
89
output         [7:0] per_addr;     // Peripheral address
90
output        [15:0] per_din;      // Peripheral data input
91
output         [1:0] per_wen;      // Peripheral write enable (high active)
92
output               per_en;       // Peripheral enable (high active)
93
output [`PMEM_MSB:0] pmem_addr;    // Program Memory address
94
output               pmem_cen;     // Program Memory chip enable (low active)
95
output        [15:0] pmem_din;     // Program Memory data input (optional)
96
output         [1:0] pmem_wen;     // Program Memory write enable (low active) (optional)
97
output               puc;          // Main system reset
98
output               smclk_en;     // SMCLK enable
99 2 olivier.gi
 
100
 
101
// INPUTs
102
//=========
103 33 olivier.gi
input                dbg_uart_rxd; // Debug interface: UART RXD
104
input                dco_clk;      // Fast oscillator (fast clock)
105
input         [15:0] dmem_dout;    // Data Memory data output
106
input         [13:0] irq;          // Maskable interrupts
107
input                lfxt_clk;     // Low frequency oscillator (typ 32kHz)
108
input                nmi;          // Non-maskable interrupt (asynchronous)
109
input         [15:0] per_dout;     // Peripheral data output
110
input         [15:0] pmem_dout;    // Program Memory data output
111
input                reset_n;      // Reset Pin (active low)
112 2 olivier.gi
 
113
 
114
 
115
//=============================================================================
116
// 1)  INTERNAL WIRES/REGISTERS/PARAMETERS DECLARATION
117
//=============================================================================
118
 
119
wire          [7:0] inst_ad;
120
wire          [7:0] inst_as;
121
wire         [11:0] inst_alu;
122
wire                inst_bw;
123
wire         [15:0] inst_dest;
124
wire         [15:0] inst_dext;
125
wire         [15:0] inst_sext;
126
wire          [7:0] inst_so;
127
wire         [15:0] inst_src;
128
wire          [2:0] inst_type;
129
wire          [3:0] e_state;
130
wire                exec_done;
131
 
132
wire         [15:0] eu_mab;
133
wire         [15:0] eu_mdb_in;
134
wire         [15:0] eu_mdb_out;
135
wire          [1:0] eu_mb_wr;
136
wire         [15:0] fe_mab;
137
wire         [15:0] fe_mdb_in;
138
 
139
wire         [15:0] pc_sw;
140
wire          [7:0] inst_jmp;
141
wire         [15:0] pc;
142
wire         [15:0] pc_nxt;
143
 
144 86 olivier.gi
wire                dbg_halt_cmd;
145
wire                dbg_mem_en;
146
wire                dbg_reg_wr;
147
wire                dbg_reset;
148 2 olivier.gi
wire         [15:0] dbg_mem_addr;
149
wire         [15:0] dbg_mem_dout;
150
wire         [15:0] dbg_mem_din;
151
wire         [15:0] dbg_reg_din;
152
wire          [1:0] dbg_mem_wr;
153
 
154
wire         [15:0] per_dout_or;
155
wire         [15:0] per_dout_sfr;
156
wire         [15:0] per_dout_wdog;
157 67 olivier.gi
wire         [15:0] per_dout_mpy;
158 2 olivier.gi
wire         [15:0] per_dout_clk;
159
 
160
 
161
//=============================================================================
162
// 2)  GLOBAL CLOCK & RESET MANAGEMENT
163
//=============================================================================
164
 
165 34 olivier.gi
omsp_clock_module clock_module_0 (
166 2 olivier.gi
 
167
// OUTPUTs
168
    .aclk_en      (aclk_en),       // ACLK enablex
169
    .mclk         (mclk),          // Main system clock
170
    .per_dout     (per_dout_clk),  // Peripheral data output
171
    .por          (por),           // Power-on reset
172
    .puc          (puc),           // Main system reset
173
    .smclk_en     (smclk_en),      // SMCLK enable
174
 
175
// INPUTs
176
    .dbg_reset    (dbg_reset),     // Reset CPU from debug interface
177
    .dco_clk      (dco_clk),       // Fast oscillator (fast clock)
178
    .lfxt_clk     (lfxt_clk),      // Low frequency oscillator (typ 32kHz)
179
    .oscoff       (oscoff),        // Turns off LFXT1 clock input
180
    .per_addr     (per_addr),      // Peripheral address
181
    .per_din      (per_din),       // Peripheral data input
182
    .per_en       (per_en),        // Peripheral enable (high active)
183
    .per_wen      (per_wen),       // Peripheral write enable (high active)
184
    .reset_n      (reset_n),       // Reset Pin (low active)
185
    .scg1         (scg1),          // System clock generator 1. Turns off the SMCLK
186
    .wdt_reset    (wdt_reset)      // Watchdog-timer reset
187
);
188
 
189
 
190
//=============================================================================
191
// 3)  FRONTEND (<=> FETCH & DECODE)
192
//=============================================================================
193
 
194 34 olivier.gi
omsp_frontend frontend_0 (
195 2 olivier.gi
 
196
// OUTPUTs
197
    .dbg_halt_st  (dbg_halt_st),   // Halt/Run status from CPU
198 53 olivier.gi
    .decode_noirq (decode_noirq),  // Frontend decode instruction
199 2 olivier.gi
    .e_state      (e_state),       // Execution state
200
    .exec_done    (exec_done),     // Execution completed
201
    .inst_ad      (inst_ad),       // Decoded Inst: destination addressing mode
202
    .inst_as      (inst_as),       // Decoded Inst: source addressing mode
203
    .inst_alu     (inst_alu),      // ALU control signals
204
    .inst_bw      (inst_bw),       // Decoded Inst: byte width
205
    .inst_dest    (inst_dest),     // Decoded Inst: destination (one hot)
206
    .inst_dext    (inst_dext),     // Decoded Inst: destination extended instruction word
207
    .inst_irq_rst (inst_irq_rst),  // Decoded Inst: Reset interrupt
208
    .inst_jmp     (inst_jmp),      // Decoded Inst: Conditional jump
209
    .inst_sext    (inst_sext),     // Decoded Inst: source extended instruction word
210
    .inst_so      (inst_so),       // Decoded Inst: Single-operand arithmetic
211
    .inst_src     (inst_src),      // Decoded Inst: source (one hot)
212
    .inst_type    (inst_type),     // Decoded Instruction type
213
    .irq_acc      (irq_acc),       // Interrupt request accepted
214
    .mab          (fe_mab),        // Frontend Memory address bus
215
    .mb_en        (fe_mb_en),      // Frontend Memory bus enable
216
    .nmi_acc      (nmi_acc),       // Non-Maskable interrupt request accepted
217
    .pc           (pc),            // Program counter
218
    .pc_nxt       (pc_nxt),        // Next PC value (for CALL & IRQ)
219
 
220
// INPUTs
221
    .cpuoff       (cpuoff),        // Turns off the CPU
222
    .dbg_halt_cmd (dbg_halt_cmd),  // Halt CPU command
223
    .dbg_reg_sel  (dbg_mem_addr[3:0]), // Debug selected register for rd/wr access
224 33 olivier.gi
    .fe_pmem_wait (fe_pmem_wait),  // Frontend wait for Instruction fetch
225 2 olivier.gi
    .gie          (gie),           // General interrupt enable
226
    .irq          (irq),           // Maskable interrupts
227
    .mclk         (mclk),          // Main system clock
228
    .mdb_in       (fe_mdb_in),     // Frontend Memory data bus input
229
    .nmi_evt      (nmi_evt),       // Non-maskable interrupt event
230
    .pc_sw        (pc_sw),         // Program counter software value
231
    .pc_sw_wr     (pc_sw_wr),      // Program counter software write
232
    .puc          (puc),           // Main system reset
233
    .wdt_irq      (wdt_irq)        // Watchdog-timer interrupt
234
);
235
 
236
 
237
//=============================================================================
238
// 4)  EXECUTION UNIT
239
//=============================================================================
240
 
241 34 olivier.gi
omsp_execution_unit execution_unit_0 (
242 2 olivier.gi
 
243
// OUTPUTs
244
    .cpuoff       (cpuoff),        // Turns off the CPU
245
    .dbg_reg_din  (dbg_reg_din),   // Debug unit CPU register data input
246
    .mab          (eu_mab),        // Memory address bus
247
    .mb_en        (eu_mb_en),      // Memory bus enable
248
    .mb_wr        (eu_mb_wr),      // Memory bus write transfer
249
    .mdb_out      (eu_mdb_out),    // Memory data bus output
250
    .oscoff       (oscoff),        // Turns off LFXT1 clock input
251
    .pc_sw        (pc_sw),         // Program counter software value
252
    .pc_sw_wr     (pc_sw_wr),      // Program counter software write
253
    .scg1         (scg1),          // System clock generator 1. Turns off the SMCLK
254
 
255
// INPUTs
256
    .dbg_halt_st  (dbg_halt_st),   // Halt/Run status from CPU
257
    .dbg_mem_dout (dbg_mem_dout),  // Debug unit data output
258
    .dbg_reg_wr   (dbg_reg_wr),    // Debug unit CPU register write
259
    .e_state      (e_state),       // Execution state
260
    .exec_done    (exec_done),     // Execution completed
261
    .gie          (gie),           // General interrupt enable
262
    .inst_ad      (inst_ad),       // Decoded Inst: destination addressing mode
263
    .inst_as      (inst_as),       // Decoded Inst: source addressing mode
264
    .inst_alu     (inst_alu),      // ALU control signals
265
    .inst_bw      (inst_bw),       // Decoded Inst: byte width
266
    .inst_dest    (inst_dest),     // Decoded Inst: destination (one hot)
267
    .inst_dext    (inst_dext),     // Decoded Inst: destination extended instruction word
268
    .inst_irq_rst (inst_irq_rst),  // Decoded Inst: reset interrupt
269
    .inst_jmp     (inst_jmp),      // Decoded Inst: Conditional jump
270
    .inst_sext    (inst_sext),     // Decoded Inst: source extended instruction word
271
    .inst_so      (inst_so),       // Decoded Inst: Single-operand arithmetic
272
    .inst_src     (inst_src),      // Decoded Inst: source (one hot)
273
    .inst_type    (inst_type),     // Decoded Instruction type
274
    .mclk         (mclk),          // Main system clock
275
    .mdb_in       (eu_mdb_in),     // Memory data bus input
276
    .pc           (pc),            // Program counter
277
    .pc_nxt       (pc_nxt),        // Next PC value (for CALL & IRQ)
278
    .puc          (puc)            // Main system reset
279
);
280
 
281
 
282
//=============================================================================
283
// 5)  MEMORY BACKBONE
284
//=============================================================================
285
 
286 34 olivier.gi
omsp_mem_backbone mem_backbone_0 (
287 2 olivier.gi
 
288
// OUTPUTs
289
    .dbg_mem_din  (dbg_mem_din),   // Debug unit Memory data input
290 33 olivier.gi
    .dmem_addr    (dmem_addr),     // Data Memory address
291
    .dmem_cen     (dmem_cen),      // Data Memory chip enable (low active)
292
    .dmem_din     (dmem_din),      // Data Memory data input
293
    .dmem_wen     (dmem_wen),      // Data Memory write enable (low active)
294 2 olivier.gi
    .eu_mdb_in    (eu_mdb_in),     // Execution Unit Memory data bus input
295
    .fe_mdb_in    (fe_mdb_in),     // Frontend Memory data bus input
296 33 olivier.gi
    .fe_pmem_wait (fe_pmem_wait),  // Frontend wait for Instruction fetch
297 2 olivier.gi
    .per_addr     (per_addr),      // Peripheral address
298
    .per_din      (per_din),       // Peripheral data input
299
    .per_wen      (per_wen),       // Peripheral write enable (high active)
300
    .per_en       (per_en),        // Peripheral enable (high active)
301 33 olivier.gi
    .pmem_addr    (pmem_addr),     // Program Memory address
302
    .pmem_cen     (pmem_cen),      // Program Memory chip enable (low active)
303
    .pmem_din     (pmem_din),      // Program Memory data input (optional)
304
    .pmem_wen     (pmem_wen),      // Program Memory write enable (low active) (optional)
305 2 olivier.gi
 
306
// INPUTs
307
    .dbg_halt_st  (dbg_halt_st),   // Halt/Run status from CPU
308
    .dbg_mem_addr (dbg_mem_addr),  // Debug address for rd/wr access
309
    .dbg_mem_dout (dbg_mem_dout),  // Debug unit data output
310
    .dbg_mem_en   (dbg_mem_en),    // Debug unit memory enable
311
    .dbg_mem_wr   (dbg_mem_wr),    // Debug unit memory write
312 33 olivier.gi
    .dmem_dout    (dmem_dout),     // Data Memory data output
313 2 olivier.gi
    .eu_mab       (eu_mab[15:1]),  // Execution Unit Memory address bus
314
    .eu_mb_en     (eu_mb_en),      // Execution Unit Memory bus enable
315
    .eu_mb_wr     (eu_mb_wr),      // Execution Unit Memory bus write transfer
316
    .eu_mdb_out   (eu_mdb_out),    // Execution Unit Memory data bus output
317
    .fe_mab       (fe_mab[15:1]),  // Frontend Memory address bus
318
    .fe_mb_en     (fe_mb_en),      // Frontend Memory bus enable
319
    .mclk         (mclk),          // Main system clock
320
    .per_dout     (per_dout_or),   // Peripheral data output
321 33 olivier.gi
    .pmem_dout    (pmem_dout),     // Program Memory data output
322
    .puc          (puc)            // Main system reset
323 2 olivier.gi
);
324
 
325
 
326
//=============================================================================
327
// 6)  SPECIAL FUNCTION REGISTERS
328
//=============================================================================
329
 
330 34 olivier.gi
omsp_sfr sfr_0 (
331 2 olivier.gi
 
332
// OUTPUTs
333
    .nmie         (nmie),          // Non-maskable interrupt enable
334
    .per_dout     (per_dout_sfr),  // Peripheral data output
335
    .wdt_irq      (wdt_irq),       // Watchdog-timer interrupt
336
    .wdt_reset    (wdt_reset),     // Watchdog-timer reset
337
    .wdtie        (wdtie),         // Watchdog-timer interrupt enable
338
 
339
// INPUTs
340
    .mclk         (mclk),          // Main system clock
341
    .nmi_acc      (nmi_acc),       // Non-Maskable interrupt request accepted
342
    .per_addr     (per_addr),      // Peripheral address
343
    .per_din      (per_din),       // Peripheral data input
344
    .per_en       (per_en),        // Peripheral enable (high active)
345
    .per_wen      (per_wen),       // Peripheral write enable (high active)
346
    .por          (por),           // Power-on reset
347
    .puc          (puc),           // Main system reset
348
    .wdtifg_clr   (irq_acc[10]),   // Clear Watchdog-timer interrupt flag
349
    .wdtifg_set   (wdtifg_set),    // Set Watchdog-timer interrupt flag
350
    .wdtpw_error  (wdtpw_error),   // Watchdog-timer password error
351
    .wdttmsel     (wdttmsel)       // Watchdog-timer mode select
352
);
353
 
354
 
355
//=============================================================================
356
// 7)  WATCHDOG TIMER
357
//=============================================================================
358
 
359 34 olivier.gi
omsp_watchdog watchdog_0 (
360 2 olivier.gi
 
361
// OUTPUTs
362
    .nmi_evt      (nmi_evt),       // NMI Event
363
    .per_dout     (per_dout_wdog), // Peripheral data output
364
    .wdtifg_set   (wdtifg_set),    // Set Watchdog-timer interrupt flag
365
    .wdtpw_error  (wdtpw_error),   // Watchdog-timer password error
366
    .wdttmsel     (wdttmsel),      // Watchdog-timer mode select
367
 
368
// INPUTs
369
    .aclk_en      (aclk_en),       // ACLK enable
370
    .dbg_freeze   (dbg_freeze),    // Freeze Watchdog counter
371
    .mclk         (mclk),          // Main system clock
372
    .nmi          (nmi),           // Non-maskable interrupt (asynchronous)
373
    .nmie         (nmie),          // Non-maskable interrupt enable
374
    .per_addr     (per_addr),      // Peripheral address
375
    .per_din      (per_din),       // Peripheral data input
376
    .per_en       (per_en),        // Peripheral enable (high active)
377
    .per_wen      (per_wen),       // Peripheral write enable (high active)
378
    .puc          (puc),           // Main system reset
379
    .smclk_en     (smclk_en),      // SMCLK enable
380
    .wdtie        (wdtie)          // Watchdog-timer interrupt enable
381
);
382
 
383
 
384
//=============================================================================
385 67 olivier.gi
// 8)  HARDWARE MULTIPLIER
386 2 olivier.gi
//=============================================================================
387 67 olivier.gi
`ifdef MULTIPLIER
388
omsp_multiplier multiplier_0 (
389 2 olivier.gi
 
390 67 olivier.gi
// OUTPUTs
391
    .per_dout     (per_dout_mpy),  // Peripheral data output
392
 
393
// INPUTs
394
    .mclk         (mclk),          // Main system clock
395
    .per_addr     (per_addr),      // Peripheral address
396
    .per_din      (per_din),       // Peripheral data input
397
    .per_en       (per_en),        // Peripheral enable (high active)
398
    .per_wen      (per_wen),       // Peripheral write enable (high active)
399
    .puc          (puc)            // Main system reset
400
);
401
`else
402
assign per_dout_mpy = 16'h0000;
403
`endif
404
 
405
//=============================================================================
406
// 9)  PERIPHERALS' OUTPUT BUS
407
//=============================================================================
408
 
409 2 olivier.gi
assign  per_dout_or  =  per_dout      |
410
                        per_dout_clk  |
411
                        per_dout_sfr  |
412 67 olivier.gi
                        per_dout_wdog |
413
                        per_dout_mpy;
414 2 olivier.gi
 
415
 
416
//=============================================================================
417 67 olivier.gi
// 10)  DEBUG INTERFACE
418 2 olivier.gi
//=============================================================================
419
 
420
`ifdef DBG_EN
421 34 olivier.gi
omsp_dbg dbg_0 (
422 2 olivier.gi
 
423
// OUTPUTs
424
    .dbg_freeze   (dbg_freeze),    // Freeze peripherals
425
    .dbg_halt_cmd (dbg_halt_cmd),  // Halt CPU command
426
    .dbg_mem_addr (dbg_mem_addr),  // Debug address for rd/wr access
427
    .dbg_mem_dout (dbg_mem_dout),  // Debug unit data output
428
    .dbg_mem_en   (dbg_mem_en),    // Debug unit memory enable
429
    .dbg_mem_wr   (dbg_mem_wr),    // Debug unit memory write
430
    .dbg_reg_wr   (dbg_reg_wr),    // Debug unit CPU register write
431
    .dbg_reset    (dbg_reset),     // Reset CPU from debug interface
432
    .dbg_uart_txd (dbg_uart_txd),  // Debug interface: UART TXD
433
 
434
// INPUTs
435
    .dbg_halt_st  (dbg_halt_st),   // Halt/Run status from CPU
436
    .dbg_mem_din  (dbg_mem_din),   // Debug unit Memory data input
437
    .dbg_reg_din  (dbg_reg_din),   // Debug unit CPU register data input
438
    .dbg_uart_rxd (dbg_uart_rxd),  // Debug interface: UART RXD
439 53 olivier.gi
    .decode_noirq (decode_noirq),  // Frontend decode instruction
440 2 olivier.gi
    .eu_mab       (eu_mab),        // Execution-Unit Memory address bus
441
    .eu_mb_en     (eu_mb_en),      // Execution-Unit Memory bus enable
442
    .eu_mb_wr     (eu_mb_wr),      // Execution-Unit Memory bus write transfer
443
    .eu_mdb_in    (eu_mdb_in),     // Memory data bus input
444
    .eu_mdb_out   (eu_mdb_out),    // Memory data bus output
445
    .exec_done    (exec_done),     // Execution completed
446
    .fe_mb_en     (fe_mb_en),      // Frontend Memory bus enable
447
    .fe_mdb_in    (fe_mdb_in),     // Frontend Memory data bus input
448
    .mclk         (mclk),          // Main system clock
449
    .pc           (pc),            // Program counter
450
    .por          (por),           // Power on reset
451
    .puc          (puc)            // Main system reset
452
);
453
 
454
`else
455
assign dbg_freeze   =  1'b0;
456
assign dbg_halt_cmd =  1'b0;
457
assign dbg_mem_addr = 16'h0000;
458
assign dbg_mem_dout = 16'h0000;
459
assign dbg_mem_en   =  1'b0;
460
assign dbg_mem_wr   =  2'b00;
461
assign dbg_reg_wr   =  1'b0;
462
assign dbg_reset    =  1'b0;
463
assign dbg_uart_txd =  1'b0;
464
`endif
465
 
466
 
467
endmodule // openMSP430
468
 
469 103 olivier.gi
`ifdef OMSP_NO_INCLUDE
470
`else
471 33 olivier.gi
`include "openMSP430_undefines.v"
472 103 olivier.gi
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.