OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [core/] [synthesis/] [altera/] [src/] [megawizard/] [arriagx_dmem.v] - Blame information for rev 63

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 63 olivier.gi
// megafunction wizard: %RAM: 1-PORT%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altsyncram 
5
 
6
// ============================================================
7
// File Name: arriagx_dmem.v
8
// Megafunction Name(s):
9
//                      altsyncram
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2009 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module arriagx_dmem (
40
        address,
41
        byteena,
42
        clken,
43
        clock,
44
        data,
45
        wren,
46
        q);
47
 
48
        input   [9:0]  address;
49
        input   [1:0]  byteena;
50
        input     clken;
51
        input     clock;
52
        input   [15:0]  data;
53
        input     wren;
54
        output  [15:0]  q;
55
`ifndef ALTERA_RESERVED_QIS
56
// synopsys translate_off
57
`endif
58
        tri1    [1:0]  byteena;
59
        tri1      clken;
60
        tri1      clock;
61
`ifndef ALTERA_RESERVED_QIS
62
// synopsys translate_on
63
`endif
64
 
65
        wire [15:0] sub_wire0;
66
        wire [15:0] q = sub_wire0[15:0];
67
 
68
        altsyncram      altsyncram_component (
69
                                .clocken0 (clken),
70
                                .wren_a (wren),
71
                                .clock0 (clock),
72
                                .byteena_a (byteena),
73
                                .address_a (address),
74
                                .data_a (data),
75
                                .q_a (sub_wire0),
76
                                .aclr0 (1'b0),
77
                                .aclr1 (1'b0),
78
                                .address_b (1'b1),
79
                                .addressstall_a (1'b0),
80
                                .addressstall_b (1'b0),
81
                                .byteena_b (1'b1),
82
                                .clock1 (1'b1),
83
                                .clocken1 (1'b1),
84
                                .clocken2 (1'b1),
85
                                .clocken3 (1'b1),
86
                                .data_b (1'b1),
87
                                .eccstatus (),
88
                                .q_b (),
89
                                .rden_a (1'b1),
90
                                .rden_b (1'b1),
91
                                .wren_b (1'b0));
92
        defparam
93
                altsyncram_component.byte_size = 8,
94
                altsyncram_component.clock_enable_input_a = "NORMAL",
95
                altsyncram_component.clock_enable_output_a = "BYPASS",
96
                altsyncram_component.intended_device_family = "Arria GX",
97
                altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO",
98
                altsyncram_component.lpm_type = "altsyncram",
99
                altsyncram_component.numwords_a = 1024,
100
                altsyncram_component.operation_mode = "SINGLE_PORT",
101
                altsyncram_component.outdata_aclr_a = "NONE",
102
                altsyncram_component.outdata_reg_a = "UNREGISTERED",
103
                altsyncram_component.power_up_uninitialized = "FALSE",
104
                altsyncram_component.widthad_a = 10,
105
                altsyncram_component.width_a = 16,
106
                altsyncram_component.width_byteena_a = 2;
107
 
108
 
109
endmodule
110
 
111
// ============================================================
112
// CNX file retrieval info
113
// ============================================================
114
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
115
// Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
116
// Retrieval info: PRIVATE: AclrByte NUMERIC "0"
117
// Retrieval info: PRIVATE: AclrData NUMERIC "0"
118
// Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
119
// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "1"
120
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
121
// Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
122
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "1"
123
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
124
// Retrieval info: PRIVATE: Clken NUMERIC "1"
125
// Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
126
// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
127
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
128
// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
129
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Arria GX"
130
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
131
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
132
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
133
// Retrieval info: PRIVATE: MIFfilename STRING ""
134
// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "1024"
135
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
136
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
137
// Retrieval info: PRIVATE: RegAddr NUMERIC "1"
138
// Retrieval info: PRIVATE: RegData NUMERIC "1"
139
// Retrieval info: PRIVATE: RegOutput NUMERIC "0"
140
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
141
// Retrieval info: PRIVATE: SingleClock NUMERIC "1"
142
// Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
143
// Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
144
// Retrieval info: PRIVATE: WidthAddr NUMERIC "10"
145
// Retrieval info: PRIVATE: WidthData NUMERIC "16"
146
// Retrieval info: PRIVATE: rden NUMERIC "0"
147
// Retrieval info: CONSTANT: BYTE_SIZE NUMERIC "8"
148
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "NORMAL"
149
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
150
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Arria GX"
151
// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
152
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
153
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "1024"
154
// Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
155
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
156
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
157
// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
158
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "10"
159
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "16"
160
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "2"
161
// Retrieval info: USED_PORT: address 0 0 10 0 INPUT NODEFVAL address[9..0]
162
// Retrieval info: USED_PORT: byteena 0 0 2 0 INPUT VCC byteena[1..0]
163
// Retrieval info: USED_PORT: clken 0 0 0 0 INPUT VCC clken
164
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC clock
165
// Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0]
166
// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0]
167
// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL wren
168
// Retrieval info: CONNECT: @address_a 0 0 10 0 address 0 0 10 0
169
// Retrieval info: CONNECT: q 0 0 16 0 @q_a 0 0 16 0
170
// Retrieval info: CONNECT: @byteena_a 0 0 2 0 byteena 0 0 2 0
171
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
172
// Retrieval info: CONNECT: @clocken0 0 0 0 0 clken 0 0 0 0
173
// Retrieval info: CONNECT: @data_a 0 0 16 0 data 0 0 16 0
174
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
175
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
176
// Retrieval info: GEN_FILE: TYPE_NORMAL arriagx_dmem.v TRUE
177
// Retrieval info: GEN_FILE: TYPE_NORMAL arriagx_dmem.inc FALSE
178
// Retrieval info: GEN_FILE: TYPE_NORMAL arriagx_dmem.cmp FALSE
179
// Retrieval info: GEN_FILE: TYPE_NORMAL arriagx_dmem.bsf FALSE
180
// Retrieval info: GEN_FILE: TYPE_NORMAL arriagx_dmem_inst.v FALSE
181
// Retrieval info: GEN_FILE: TYPE_NORMAL arriagx_dmem_bb.v FALSE
182
// Retrieval info: GEN_FILE: TYPE_NORMAL arriagx_dmem_waveforms.html FALSE
183
// Retrieval info: GEN_FILE: TYPE_NORMAL arriagx_dmem_wave*.jpg FALSE
184
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.