OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [core/] [synthesis/] [xilinx/] [src/] [coregen/] [spartan3adsp_dmem.vhd] - Blame information for rev 62

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 62 olivier.gi
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2009 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file spartan3adsp_dmem.vhd when simulating
30
-- the core, spartan3adsp_dmem. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY spartan3adsp_dmem IS
44
        port (
45
        clka: IN std_logic;
46
        ena: IN std_logic;
47
        wea: IN std_logic_VECTOR(1 downto 0);
48
        addra: IN std_logic_VECTOR(9 downto 0);
49
        dina: IN std_logic_VECTOR(15 downto 0);
50
        douta: OUT std_logic_VECTOR(15 downto 0));
51
END spartan3adsp_dmem;
52
 
53
ARCHITECTURE spartan3adsp_dmem_a OF spartan3adsp_dmem IS
54
-- synthesis translate_off
55
component wrapped_spartan3adsp_dmem
56
        port (
57
        clka: IN std_logic;
58
        ena: IN std_logic;
59
        wea: IN std_logic_VECTOR(1 downto 0);
60
        addra: IN std_logic_VECTOR(9 downto 0);
61
        dina: IN std_logic_VECTOR(15 downto 0);
62
        douta: OUT std_logic_VECTOR(15 downto 0));
63
end component;
64
 
65
-- Configuration specification 
66
        for all : wrapped_spartan3adsp_dmem use entity XilinxCoreLib.blk_mem_gen_v3_3(behavioral)
67
                generic map(
68
                        c_has_regceb => 0,
69
                        c_has_regcea => 0,
70
                        c_mem_type => 0,
71
                        c_rstram_b => 0,
72
                        c_rstram_a => 0,
73
                        c_has_injecterr => 0,
74
                        c_rst_type => "SYNC",
75
                        c_prim_type => 1,
76
                        c_read_width_b => 16,
77
                        c_initb_val => "0",
78
                        c_family => "spartan3",
79
                        c_read_width_a => 16,
80
                        c_disable_warn_bhv_coll => 0,
81
                        c_write_mode_b => "WRITE_FIRST",
82
                        c_init_file_name => "no_coe_file_loaded",
83
                        c_write_mode_a => "WRITE_FIRST",
84
                        c_mux_pipeline_stages => 0,
85
                        c_has_mem_output_regs_b => 0,
86
                        c_has_mem_output_regs_a => 0,
87
                        c_load_init_file => 0,
88
                        c_xdevicefamily => "spartan3adsp",
89
                        c_write_depth_b => 1024,
90
                        c_write_depth_a => 1024,
91
                        c_has_rstb => 0,
92
                        c_has_rsta => 0,
93
                        c_has_mux_output_regs_b => 0,
94
                        c_inita_val => "0",
95
                        c_has_mux_output_regs_a => 0,
96
                        c_addra_width => 10,
97
                        c_addrb_width => 10,
98
                        c_default_data => "0",
99
                        c_use_ecc => 0,
100
                        c_algorithm => 1,
101
                        c_disable_warn_bhv_range => 0,
102
                        c_write_width_b => 16,
103
                        c_write_width_a => 16,
104
                        c_read_depth_b => 1024,
105
                        c_read_depth_a => 1024,
106
                        c_byte_size => 8,
107
                        c_sim_collision_check => "ALL",
108
                        c_common_clk => 0,
109
                        c_wea_width => 2,
110
                        c_has_enb => 0,
111
                        c_web_width => 2,
112
                        c_has_ena => 1,
113
                        c_use_byte_web => 1,
114
                        c_use_byte_wea => 1,
115
                        c_rst_priority_b => "CE",
116
                        c_rst_priority_a => "CE",
117
                        c_use_default_data => 0);
118
-- synthesis translate_on
119
BEGIN
120
-- synthesis translate_off
121
U0 : wrapped_spartan3adsp_dmem
122
                port map (
123
                        clka => clka,
124
                        ena => ena,
125
                        wea => wea,
126
                        addra => addra,
127
                        dina => dina,
128
                        douta => douta);
129
-- synthesis translate_on
130
 
131
END spartan3adsp_dmem_a;
132
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.