OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [actel_m1a3pl_dev_kit/] [rtl/] [verilog/] [smartgen/] [dmem_128B.v] - Blame information for rev 80

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 80 olivier.gi
`timescale 1 ns/100 ps
2
// Version: 9.0 SP1 9.0.2.9
3
 
4
 
5
module dmem_128B(WD,RD,WEN,REN,WADDR,RADDR,RWCLK,RESET);
6
input [7:0] WD;
7
output [7:0] RD;
8
input  WEN, REN;
9
input [6:0] WADDR, RADDR;
10
input RWCLK;
11
input RESET;
12
 
13
    wire VCC, GND;
14
 
15
    VCC VCC_1_net(.Y(VCC));
16
    GND GND_1_net(.Y(GND));
17
    RAM4K9 #( .MEMORYFILE() )
18
        dmem_128B_R0C0(.ADDRA11(GND), .ADDRA10(GND), .ADDRA9(
19
        GND), .ADDRA8(GND), .ADDRA7(GND), .ADDRA6(WADDR[6]),
20
        .ADDRA5(WADDR[5]), .ADDRA4(WADDR[4]), .ADDRA3(WADDR[3]),
21
        .ADDRA2(WADDR[2]), .ADDRA1(WADDR[1]), .ADDRA0(WADDR[0]),
22
        .ADDRB11(GND), .ADDRB10(GND), .ADDRB9(GND), .ADDRB8(GND),
23
        .ADDRB7(GND), .ADDRB6(RADDR[6]), .ADDRB5(RADDR[5]),
24
        .ADDRB4(RADDR[4]), .ADDRB3(RADDR[3]), .ADDRB2(RADDR[2]),
25
        .ADDRB1(RADDR[1]), .ADDRB0(RADDR[0]), .DINA8(GND), .DINA7(
26
        WD[7]), .DINA6(WD[6]), .DINA5(WD[5]), .DINA4(WD[4]),
27
        .DINA3(WD[3]), .DINA2(WD[2]), .DINA1(WD[1]), .DINA0(WD[0])
28
        , .DINB8(GND), .DINB7(GND), .DINB6(GND), .DINB5(GND),
29
        .DINB4(GND), .DINB3(GND), .DINB2(GND), .DINB1(GND),
30
        .DINB0(GND), .WIDTHA0(VCC), .WIDTHA1(VCC), .WIDTHB0(VCC),
31
        .WIDTHB1(VCC), .PIPEA(GND), .PIPEB(GND), .WMODEA(GND),
32
        .WMODEB(GND), .BLKA(WEN), .BLKB(REN), .WENA(GND), .WENB(
33
        VCC), .CLKA(RWCLK), .CLKB(RWCLK), .RESET(RESET), .DOUTA8(),
34
        .DOUTA7(), .DOUTA6(), .DOUTA5(), .DOUTA4(), .DOUTA3(),
35
        .DOUTA2(), .DOUTA1(), .DOUTA0(), .DOUTB8(), .DOUTB7(RD[7])
36
        , .DOUTB6(RD[6]), .DOUTB5(RD[5]), .DOUTB4(RD[4]), .DOUTB3(
37
        RD[3]), .DOUTB2(RD[2]), .DOUTB1(RD[1]), .DOUTB0(RD[0]));
38
 
39
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.