OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [actel_m1a3pl_dev_kit/] [rtl/] [verilog/] [smartgen/] [pmem_2kB.v] - Blame information for rev 80

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 80 olivier.gi
`timescale 1 ns/100 ps
2
// Version: 9.0 SP1 9.0.2.9
3
 
4
 
5
module pmem_2kB(WD,RD,WEN,REN,WADDR,RADDR,RWCLK,RESET);
6
input [7:0] WD;
7
output [7:0] RD;
8
input  WEN, REN;
9
input [10:0] WADDR, RADDR;
10
input RWCLK;
11
input RESET;
12
 
13
    wire VCC, GND;
14
 
15
    VCC VCC_1_net(.Y(VCC));
16
    GND GND_1_net(.Y(GND));
17
    RAM4K9 #( .MEMORYFILE() )
18
        pmem_2kB_R0C0(.ADDRA11(GND), .ADDRA10(WADDR[10]),
19
        .ADDRA9(WADDR[9]), .ADDRA8(WADDR[8]), .ADDRA7(WADDR[7]),
20
        .ADDRA6(WADDR[6]), .ADDRA5(WADDR[5]), .ADDRA4(WADDR[4]),
21
        .ADDRA3(WADDR[3]), .ADDRA2(WADDR[2]), .ADDRA1(WADDR[1]),
22
        .ADDRA0(WADDR[0]), .ADDRB11(GND), .ADDRB10(RADDR[10]),
23
        .ADDRB9(RADDR[9]), .ADDRB8(RADDR[8]), .ADDRB7(RADDR[7]),
24
        .ADDRB6(RADDR[6]), .ADDRB5(RADDR[5]), .ADDRB4(RADDR[4]),
25
        .ADDRB3(RADDR[3]), .ADDRB2(RADDR[2]), .ADDRB1(RADDR[1]),
26
        .ADDRB0(RADDR[0]), .DINA8(GND), .DINA7(GND), .DINA6(GND),
27
        .DINA5(GND), .DINA4(GND), .DINA3(GND), .DINA2(GND),
28
        .DINA1(WD[1]), .DINA0(WD[0]), .DINB8(GND), .DINB7(GND),
29
        .DINB6(GND), .DINB5(GND), .DINB4(GND), .DINB3(GND),
30
        .DINB2(GND), .DINB1(GND), .DINB0(GND), .WIDTHA0(VCC),
31
        .WIDTHA1(GND), .WIDTHB0(VCC), .WIDTHB1(GND), .PIPEA(GND),
32
        .PIPEB(GND), .WMODEA(GND), .WMODEB(GND), .BLKA(WEN),
33
        .BLKB(REN), .WENA(GND), .WENB(VCC), .CLKA(RWCLK), .CLKB(
34
        RWCLK), .RESET(RESET), .DOUTA8(), .DOUTA7(), .DOUTA6(),
35
        .DOUTA5(), .DOUTA4(), .DOUTA3(), .DOUTA2(), .DOUTA1(),
36
        .DOUTA0(), .DOUTB8(), .DOUTB7(), .DOUTB6(), .DOUTB5(),
37
        .DOUTB4(), .DOUTB3(), .DOUTB2(), .DOUTB1(RD[1]), .DOUTB0(
38
        RD[0]));
39
    RAM4K9 #( .MEMORYFILE() )
40
        pmem_2kB_R0C1(.ADDRA11(GND), .ADDRA10(WADDR[10]),
41
        .ADDRA9(WADDR[9]), .ADDRA8(WADDR[8]), .ADDRA7(WADDR[7]),
42
        .ADDRA6(WADDR[6]), .ADDRA5(WADDR[5]), .ADDRA4(WADDR[4]),
43
        .ADDRA3(WADDR[3]), .ADDRA2(WADDR[2]), .ADDRA1(WADDR[1]),
44
        .ADDRA0(WADDR[0]), .ADDRB11(GND), .ADDRB10(RADDR[10]),
45
        .ADDRB9(RADDR[9]), .ADDRB8(RADDR[8]), .ADDRB7(RADDR[7]),
46
        .ADDRB6(RADDR[6]), .ADDRB5(RADDR[5]), .ADDRB4(RADDR[4]),
47
        .ADDRB3(RADDR[3]), .ADDRB2(RADDR[2]), .ADDRB1(RADDR[1]),
48
        .ADDRB0(RADDR[0]), .DINA8(GND), .DINA7(GND), .DINA6(GND),
49
        .DINA5(GND), .DINA4(GND), .DINA3(GND), .DINA2(GND),
50
        .DINA1(WD[3]), .DINA0(WD[2]), .DINB8(GND), .DINB7(GND),
51
        .DINB6(GND), .DINB5(GND), .DINB4(GND), .DINB3(GND),
52
        .DINB2(GND), .DINB1(GND), .DINB0(GND), .WIDTHA0(VCC),
53
        .WIDTHA1(GND), .WIDTHB0(VCC), .WIDTHB1(GND), .PIPEA(GND),
54
        .PIPEB(GND), .WMODEA(GND), .WMODEB(GND), .BLKA(WEN),
55
        .BLKB(REN), .WENA(GND), .WENB(VCC), .CLKA(RWCLK), .CLKB(
56
        RWCLK), .RESET(RESET), .DOUTA8(), .DOUTA7(), .DOUTA6(),
57
        .DOUTA5(), .DOUTA4(), .DOUTA3(), .DOUTA2(), .DOUTA1(),
58
        .DOUTA0(), .DOUTB8(), .DOUTB7(), .DOUTB6(), .DOUTB5(),
59
        .DOUTB4(), .DOUTB3(), .DOUTB2(), .DOUTB1(RD[3]), .DOUTB0(
60
        RD[2]));
61
    RAM4K9 #( .MEMORYFILE() )
62
        pmem_2kB_R0C3(.ADDRA11(GND), .ADDRA10(WADDR[10]),
63
        .ADDRA9(WADDR[9]), .ADDRA8(WADDR[8]), .ADDRA7(WADDR[7]),
64
        .ADDRA6(WADDR[6]), .ADDRA5(WADDR[5]), .ADDRA4(WADDR[4]),
65
        .ADDRA3(WADDR[3]), .ADDRA2(WADDR[2]), .ADDRA1(WADDR[1]),
66
        .ADDRA0(WADDR[0]), .ADDRB11(GND), .ADDRB10(RADDR[10]),
67
        .ADDRB9(RADDR[9]), .ADDRB8(RADDR[8]), .ADDRB7(RADDR[7]),
68
        .ADDRB6(RADDR[6]), .ADDRB5(RADDR[5]), .ADDRB4(RADDR[4]),
69
        .ADDRB3(RADDR[3]), .ADDRB2(RADDR[2]), .ADDRB1(RADDR[1]),
70
        .ADDRB0(RADDR[0]), .DINA8(GND), .DINA7(GND), .DINA6(GND),
71
        .DINA5(GND), .DINA4(GND), .DINA3(GND), .DINA2(GND),
72
        .DINA1(WD[7]), .DINA0(WD[6]), .DINB8(GND), .DINB7(GND),
73
        .DINB6(GND), .DINB5(GND), .DINB4(GND), .DINB3(GND),
74
        .DINB2(GND), .DINB1(GND), .DINB0(GND), .WIDTHA0(VCC),
75
        .WIDTHA1(GND), .WIDTHB0(VCC), .WIDTHB1(GND), .PIPEA(GND),
76
        .PIPEB(GND), .WMODEA(GND), .WMODEB(GND), .BLKA(WEN),
77
        .BLKB(REN), .WENA(GND), .WENB(VCC), .CLKA(RWCLK), .CLKB(
78
        RWCLK), .RESET(RESET), .DOUTA8(), .DOUTA7(), .DOUTA6(),
79
        .DOUTA5(), .DOUTA4(), .DOUTA3(), .DOUTA2(), .DOUTA1(),
80
        .DOUTA0(), .DOUTB8(), .DOUTB7(), .DOUTB6(), .DOUTB5(),
81
        .DOUTB4(), .DOUTB3(), .DOUTB2(), .DOUTB1(RD[7]), .DOUTB0(
82
        RD[6]));
83
    RAM4K9 #( .MEMORYFILE() )
84
        pmem_2kB_R0C2(.ADDRA11(GND), .ADDRA10(WADDR[10]),
85
        .ADDRA9(WADDR[9]), .ADDRA8(WADDR[8]), .ADDRA7(WADDR[7]),
86
        .ADDRA6(WADDR[6]), .ADDRA5(WADDR[5]), .ADDRA4(WADDR[4]),
87
        .ADDRA3(WADDR[3]), .ADDRA2(WADDR[2]), .ADDRA1(WADDR[1]),
88
        .ADDRA0(WADDR[0]), .ADDRB11(GND), .ADDRB10(RADDR[10]),
89
        .ADDRB9(RADDR[9]), .ADDRB8(RADDR[8]), .ADDRB7(RADDR[7]),
90
        .ADDRB6(RADDR[6]), .ADDRB5(RADDR[5]), .ADDRB4(RADDR[4]),
91
        .ADDRB3(RADDR[3]), .ADDRB2(RADDR[2]), .ADDRB1(RADDR[1]),
92
        .ADDRB0(RADDR[0]), .DINA8(GND), .DINA7(GND), .DINA6(GND),
93
        .DINA5(GND), .DINA4(GND), .DINA3(GND), .DINA2(GND),
94
        .DINA1(WD[5]), .DINA0(WD[4]), .DINB8(GND), .DINB7(GND),
95
        .DINB6(GND), .DINB5(GND), .DINB4(GND), .DINB3(GND),
96
        .DINB2(GND), .DINB1(GND), .DINB0(GND), .WIDTHA0(VCC),
97
        .WIDTHA1(GND), .WIDTHB0(VCC), .WIDTHB1(GND), .PIPEA(GND),
98
        .PIPEB(GND), .WMODEA(GND), .WMODEB(GND), .BLKA(WEN),
99
        .BLKB(REN), .WENA(GND), .WENB(VCC), .CLKA(RWCLK), .CLKB(
100
        RWCLK), .RESET(RESET), .DOUTA8(), .DOUTA7(), .DOUTA6(),
101
        .DOUTA5(), .DOUTA4(), .DOUTA3(), .DOUTA2(), .DOUTA1(),
102
        .DOUTA0(), .DOUTB8(), .DOUTB7(), .DOUTB6(), .DOUTB5(),
103
        .DOUTB4(), .DOUTB3(), .DOUTB2(), .DOUTB1(RD[5]), .DOUTB0(
104
        RD[4]));
105
 
106
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.