OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [actel_m1a3pl_dev_kit/] [sim/] [rtl_sim/] [bin/] [rtlsim.sh] - Blame information for rev 98

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 80 olivier.gi
#!/bin/bash
2
#------------------------------------------------------------------------------
3
# Copyright (C) 2001 Authors
4
#
5
# This source file may be used and distributed without restriction provided
6
# that this copyright statement is not removed from the file and that any
7
# derivative work contains the original copyright notice and the associated
8
# disclaimer.
9
#
10
# This source file is free software; you can redistribute it and/or modify
11
# it under the terms of the GNU Lesser General Public License as published
12
# by the Free Software Foundation; either version 2.1 of the License, or
13
# (at your option) any later version.
14
#
15
# This source is distributed in the hope that it will be useful, but WITHOUT
16
# ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
17
# FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public
18
# License for more details.
19
#
20
# You should have received a copy of the GNU Lesser General Public License
21
# along with this source; if not, write to the Free Software Foundation,
22
# Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
23
#
24
#------------------------------------------------------------------------------
25
#
26
# File Name: rtlsim.sh
27
#
28
# Author(s):
29
#             - Olivier Girard,    olgirard@gmail.com
30 94 olivier.gi
#             - Mihai M.,          mmihai@delajii.net
31 80 olivier.gi
#
32
#------------------------------------------------------------------------------
33
# $Rev: 73 $
34
# $LastChangedBy: olivier.girard $
35 94 olivier.gi
# $LastChangedDate: 2010-08-03 12:26:39 -0700 (Tue, 03 Aug 2010) $
36 80 olivier.gi
#------------------------------------------------------------------------------
37
 
38
###############################################################################
39
#                            Parameter Check                                  #
40
###############################################################################
41
EXPECTED_ARGS=3
42
if [ $# -ne $EXPECTED_ARGS ]; then
43
  echo "ERROR    : wrong number of arguments"
44
  echo "USAGE    : rtlsim.sh <verilog stimulus file> <memory file> <submit file>"
45 94 olivier.gi
  echo "Example  : rtlsim.sh ./stimulus.v            pmem.mem      ../src/submit.f"
46 98 olivier.gi
  echo "MYVLOG env keeps simulator name iverilog/cver/verilog/ncverilog/vsim/vcs"
47 80 olivier.gi
  exit 1
48
fi
49
 
50
 
51
###############################################################################
52
#                     Check if the required files exist                       #
53
###############################################################################
54
 
55
if [ ! -e $1 ]; then
56
    echo "Verilog stimulus file $1 doesn't exist"
57
    exit 1
58
fi
59
if [ ! -e $2 ]; then
60
    echo "Memory file $2 doesn't exist"
61
    exit 1
62
fi
63
if [ ! -e $3 ]; then
64
    echo "Verilog submit file $3 doesn't exist"
65
    exit 1
66
fi
67
 
68
 
69
###############################################################################
70
#                         Start verilog simulation                            #
71
###############################################################################
72 94 olivier.gi
 
73
if [ "${MYVLOG:-iverilog}" = iverilog ]; then
74
 
75
    rm -rf simv
76
 
77
    NODUMP=${OMSP_NODUMP-0}
78
    if [ $NODUMP -eq 1 ]
79
      then
80
        iverilog -o simv -c $3 -D NODUMP
81
      else
82
        iverilog -o simv -c $3
83
    fi
84
 
85
    ./simv
86
else
87
 
88
    NODUMP=${OMSP_NODUMP-0}
89
    if [ $NODUMP -eq 1 ] ; then
90
       vargs="+define+NODUMP"
91
    else
92
       vargs=""
93
    fi
94
 
95
   case $MYVLOG in
96
    cver* )
97
       vargs="$vargs +define+VXL" ;;
98
    verilog* )
99
       vargs="$vargs +define+VXL" ;;
100
    ncverilog* )
101 98 olivier.gi
       rm -rf INCA_libs
102
       vargs="$vargs +access+r +define+TRN_FILE" ;;
103
    vcs* )
104
       rm -rf csrc simv*
105
       vargs="$vargs -R -debug_pp +vcs+lic+wait +v2k +define+VPD_FILE" ;;
106 94 olivier.gi
    vsim )
107
       # Modelsim
108
       if [ -d work ]; then  vdel -all; fi
109
       vlib work
110
       exec vlog +acc=prn -f $3 $vargs -R -c -do "run -all"
111
   esac
112
 
113
   echo "Running: $MYVLOG -f $3 $vargs"
114
   exec $MYVLOG -f $3 $vargs
115
fi

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.